Advertisement
toweber

mac_reduced_tb.v

Aug 29th, 2022
1,155
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `include "mac_reduced.v"
  2. `timescale 1us/1us
  3. module mac_tb;
  4.    // on testbenches, inputs are regs
  5.    reg signed [3:0] in1;
  6.    reg signed [3:0] in2;  
  7.    reg clk;
  8.    reg reset;
  9.  
  10.    // on testbenches, outputs are wires
  11.    wire signed [4:0] out;
  12.  
  13.    mac MAC1(in1,in2,clk, reset, out);
  14.    initial begin
  15.       clk = 0;     in1 = 1;   in2 = -1; reset = 0;    
  16.       #1 reset = 1;
  17.       #1 reset = 0;      
  18.  
  19.       #(2*2**8) $finish;
  20.  
  21.    end // initial begin
  22.  
  23.    always  #1 clk = ~clk;
  24.    //always  #2 in1 = in1+1;
  25.    //always  #(2*2**4) in2 = in2+1;
  26.  
  27.    initial begin
  28.       $monitor ("%t | in1 = %d | in2 = %d | clk = %d | out = %d", $time, in1, in2, clk, out);
  29.       $dumpfile("dump.vcd");
  30.       $dumpvars();
  31.    end
  32. endmodule // sr_tb
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement