Advertisement
WhiteDeath

GENUS19.10.000

Aug 6th, 2019
3,461
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 35.99 KB | None | 0 0
  1. --------------------------------------------------------------------------------
  2. CCRs fixed in GENUS 19.10
  3. --------------------------------------------------------------------------------
  4.  
  5. 001252446 GUI Background color
  6. 001415799 Request that multiple read_hdl commands are allowed with -f option
  7. 001495834 Genus: Long PBS runtimes in syn_generic
  8. 001502386 Genus Common UI report timing to Support collections
  9. 001502480 Genus: PBS in syn_generic is degrading WNS/TNS
  10. 001505268 Enabling data-to-data checks crashes Genus (and RC)
  11. 001506725 support for hierarchical identifier in foreach argument
  12. 001515943 Genus wrong behavior with recursive VHDL function de_countones
  13. 001522937 On 600K instance design syn_gen stage creates large partition causing long runtime
  14. 001523644 huge timing difference between final genus results and innovus after loading data
  15. 001540480 Synthesis results are sensitive to the order of input libraries
  16. 001568980 generic synthesis is taking higher memory
  17. 001630077 PMBIST insertion fails if attribute force_merge_seqs_into_multibit_cells is set to true
  18. 001645665 write_sdc is changing hold constraints values on set_multicycle_paths
  19. 001645784 RC should ignore -active_state_condition and -instances inside a CPF macro model
  20. 001649272 help boundary_opto shows iFCA attribute in -legacy_ui
  21. 001655024 support read_hdl -f <> in write_testcase
  22. 001656228 STYLUS: Genus Metal Layer control/assignment for top level ports
  23. 001678618 Enhancing the warning Warning : Potential problem while applying power intent of 1801 file.
  24. 001689743 Allow X in the enable signal when load of ISO cells is shutoff
  25. 001697359 TUI-8 error when there are a large number of Genus jobs running
  26. 001701052 no help or man for update_instance_data
  27. 001709791 Unnecessary SDC command is added after derive_environment
  28. 001715974 write_et_atpg is not writing out the I_spi_clk test clock to the pinassign files.
  29. 001721415 get_property does not support SDC attributes
  30. 001726821 [report] final.rpt is mismatching with rep qor #
  31. 001727105 "Scandef appears to be incomplete, missing blocker cell information in the Scan path."
  32. 001728683 bind 'q' to opening the Attribute window in the new GUI schematic window
  33. 001741564 scan def writer does not support anchor buffer as STOP / START point
  34. 001745218 """-shutoff_condition !(shutoff1 & shutoff2)"" for the create_power_domain command?"
  35. 001747378 remove_clock_gating_check does not work on instance
  36. 001747546 Bogus TUI-37 message when starting syn_opt -phys -incr
  37. 001749365 Synthesis hangs at pbs_gen opt stage
  38. 001753681 init_design does not see hold library variable passed to create_constraint_mode -tcl
  39. 001754969 Multithreaded multibit merging does not work
  40. 001756820 Request not to execute early global route automatically for every FP object's update in FP View
  41. 001756910 report_power -power_mode does not work. Using Genus 16.22 and UPF.
  42. 001757381 Ensure 'apply_power_intent-keep_power_domain_boundaries' not have QoR degradation
  43. 001757451 check_floorplan to consider Excessive Utilization as Warning instead of Error
  44. 001761930 Enhance commit power intent to print a clear summary report.
  45. 001763547 Genus interpreting netlist incorrectly.
  46. 001770748 set_mode is dropped when using write_sdc
  47. 001773614 Segv crash seen during syn_map -phys
  48. 001776171 Genus should not error out if INVS fields are part of the timing report format requested.
  49. 001778182 Constants not being removed during syn_opt
  50. 001778327 Unclear internal errors during pre_place_iopt at syn_opt -phys stage (TUI-6)
  51. 001780127 Enhancement Request to Support AND gate in same as Edge Mux Mode While Scandef Writting
  52. 001780560 Request for proper Error/Warning message when def file read is not appropriate
  53. 001782109 cryptic message when TCL error occurs in a foreach_in_collection loop
  54. 001782246 Have Genus apply ungroup_ok false on instances referenced by UPF
  55. 001790222 Require more info related to CDFG-472 and CDFG-480 warnings in synthesis log file
  56. 001803976 """bad file number"" seen during write_snapshot leading to segv"
  57. 001806594 create_rc_corner name affects results
  58. 001816182 Genus rounding the manufacturing grid leads to unroutable design
  59. 001817333 constraint drop during generic synthesis
  60. 001818617 genus lef parser reading in lef with site definition
  61. 001819512 TCL Command error During read_sdc
  62. 001825312 genus optimises analogue nets
  63. 001825611 Incr UPF level shifter command not identifying power_domain
  64. 001826305 Row/Column sorting – alphabetical within hierarchy
  65. 001833115 The attribute controlling retention of wand-wor nets should be made user visible
  66. 001833150 request to make module object visible in Floorplan View
  67. 001833863 UPF errors while mapping to LP cells(apply_power_intent) but works fine while reloading the DB
  68. 001834263 lib_cell and base_cell discrepancy with dvfs design
  69. 001835537 Error when explicitly referencing localparam inside a package
  70. 001841626 inconsistancy in CUI tcl between Genus and Innovus is causing Genus to not apply constraints
  71. 001845923 syn_gen physical hangs in Spatial flow
  72. 001847254 [GUI] Migration to Innovus-based Schematic Viewer
  73. 001851828 "Genus should honour ""-acknowledge_receiver"" option of update_power_switch_rule and not perform unconnected handeling"
  74. 001855268 Failure to detect scan equivalent for a flop.
  75. 001859926 change_names -instances' issues confusing message related to CHNM-110 and CHNM-102
  76. 001861997 Ehnancement to write out scan def for reordered chains
  77. 001867475 Support option similar with innovus : setRouteMode -earlyGlobalNumTracksPerClockWire
  78. 001867863 WDL uses -verilog instead of -systemverilog for SV files during read_design
  79. 001868657 Request to have attribute to override the default jtag_tdi and jtag_amu_tdo port names
  80. 001869940 Genus doing wrong timing calculation
  81. 001871355 Request to document the supported pre-defined PMBIST algorithm in PMBIST DOC
  82. 001872225 "Genus ""write_hdl -pg"" incorrectly writes out internal pg pin as top-level input pin in the netlist"
  83. 001874224 Multiple calls to link_library results in Error
  84. 001874846 Fatal internal error on launching super_threading processes in Genus cadence-genus-/17.21-s003-eng
  85. 001878461 Support for Mask Sharing Ratio option for add_test_compression
  86. 001880249 Issue warning instead of error when UPF references libcells which are not present
  87. 001882339 Implement area per cost group analysis
  88. 001882439 Request option to use existing ports for insert_dft hard_repair interface
  89. 001885745 NEQ with generic netlist
  90. 001888008 Cannot restore Genus database by DFT SDC generation flow
  91. 001891254 read_hdl fails with hierarchical identifier inside foreach argument
  92. 001891447 Parameters imported through package not recognized in Genus
  93. 001891850 [write_dft_lbist_test_points] User specified notp file is ignored.
  94. 001895230 [DEF] Coordinates for TRACKS are not adjusted based on UNIT in genus2invs.def.gz
  95. 001896514 pmbist_use rentention_pause_continue and active not working
  96. 001896787 Genus must honor user defined avoid on libcells during apply_power_intent
  97. 001899381 "inconsistent reference to ""CTRL"" key in User Docs (on same page) : ""Control"", ""Ctrl"""
  98. 001900193 set_data_check -hold stored as -setup
  99. 001900461 enable read power intent to display all the files read and not just the argument
  100. 001904148 'filter_collection' of 17.1 took more than x3 runtime against 16.2.
  101. 001904482 timing jump seen on customer design post MBCI
  102. 001904611 enhance Genus to print a clear summary report after reading scan def similar to checktrace of innovus
  103. 001905316 Crash during syn_gen with new DEF
  104. 001905461 set_multicycle_path to shift both launch and capture edges
  105. 001905953 "Synthesis crashes during syn_map while in ""PBS_TechMap-Premap HBO Optimizations"" stage"
  106. 001907384 create_rc_corner name affects results
  107. 001911142 Genenric Optimization not considered to be optimal (parity bit fanin opt)
  108. 001911745 add launch point for logic depth count
  109. 001911747 provide threshold option for repor_logic_levels_histogram
  110. 001911981 Clone module command does not uniquify the design as necessary
  111. 001914718 Invalid timing path starting at CK in phy macro
  112. 001914719 merge to multibit for ISO should be kicked off during syn_opt -spatial
  113. 001914834 [DEF] Coordinates of ROW definition gets offset in write_def
  114. 001916128 Synthesis High Effort Cells feature similar to innovus setOptMode -highEffortOptCells
  115. 001916378 dft constant is not propagated through custom latch
  116. 001916380 dft clock cannot be propagated when cgic has interface_timing attribute
  117. 001917306 GRC mismatch between Genus and Innovus
  118. 001917854 Common UI syntax for update_names inconsistent between genus and innovus
  119. 001917964 wildcard in filter_collection command
  120. 001918507 Incorrect VHDL genus elaboration with exponentiation operator **
  121. 001920372 Support of -stop_at_seqs for all_fanin/all_fanout command
  122. 001921552 Genus NEQ with multiple signal assignments to a composite signal in subprogarms
  123. 001922356 propagate_dft_clock_domain_info taking long runtime to complete in designs
  124. 001922784 Non Equivalent observed in LEC after VHDL Elaboration of resize function in RTL
  125. 001923457 support highEffortOptCell in syn_opt -spatial
  126. 001923801 "Genus dumps an ""abnormal exit"" with a stack trace on doing a regular exit"
  127. 001924716 Need an option to record mapper target details in main logfile.
  128. 001924865 Need a command/tcl proc to report ICGs having enable as select of Flop's reciprocal mux.
  129. 001925021 incorrect multibit.original_name.alias causes big problems in multibit mapping
  130. 001925063 Segmentation Fault during syn_map in Genus17.11
  131. 001925213 Genus: segmentation fault during syn_generic in top-level synthesis of hierarchical test flow
  132. 001925838 create_flow_step should respect current interpreter
  133. 001926668 Genus CTL model read issue
  134. 001927720 Enhancement of 'write_design -gzip_files' to compress SDC files
  135. 001927840 "help failed in common_ui with ""create_command_help"""
  136. 001927849 enhacement for identify_fanout_free_cones
  137. 001927968 Genus/Conformal are interpreting a parameter in a different way with respect CDN simulator
  138. 001928260 API to delink a pin from a given exception set based on exception name
  139. 001928349 Genus is incorrectly moving co-ordinates in the input def by 1 manufacturing grid.
  140. 001928380 syn_gen crashes during pbs execution in 17.2
  141. 001928388 incorrect scandef generated by genus after physical flow
  142. 001928401 loosing File/Row/Col information on instance which are manually ungroupped
  143. 001929344 Scan abstracts missing head_skew_safe keywords
  144. 001929387 2D insertion unexpectedly fails when floorplan coordinate origin is center
  145. 001929799 [CUI] Tree_type not saved in opcond
  146. 001929910 "Genus tool crash after ""clock_gating import -hier""."
  147. 001930299 Genus crash during syn_gen version 18.99-e002_1
  148. 001931265 Genus is unable to merge mbit for complex flops
  149. 001932964 RTL-2-Elab = EQ; RTL-2-Gen = NEQ for z = (a*b)+((c*b) << 13)
  150. 001934257 Consistent naming convention for reports from Cadence templates
  151. 001935012 Genus isn't recognizing scan cell correctly
  152. 001935036 get_cells in common UI errors out with invalid filter expression
  153. 001935153 remap_to_dedicated_clock_library -effort high fails with malloc error
  154. 001935707 Gettng error in analyze_testbility command after wir (wrapper addition)
  155. 001935881 create_command_help can't use in CUI and hidden_proc
  156. 001936110 scan chains are stitched far from optimal in 17.x. Results are significantly worse than Genus 16.23
  157. 001936494 logical syn_opt on post scan netlist looks hung during scan tracing with just :: in the logfile
  158. 001936585 SEGV with syn_gen when we remove Level Shifter library domain
  159. 001936671 18.1 syn_opt logical takes longer run-time than 16.2 for the same design/flow
  160. 001937110 High runtime during syn_generic
  161. 001937136 High runtime during syn_map
  162. 001937142 High runtime during syn_opt
  163. 001937629 syn_gen take very long run time
  164. 001937631 Genus 'write_sdf' missing close parenthesis ')' in timing check/TIMINGCHECK
  165. 001937836 Genus traverses through a blocked mux D pin with all_fanout when multiple views are active
  166. 001937910 runtime with get_cells is 2x higher than find
  167. 001940251 Support for Inference of compound boolean multibit flops in Genus/Innovus
  168. 001940338 "Genus unable to convert complex single bit flop to complex multibit flop,"
  169. 001940417 runtime issue with index_collection on customer design
  170. 001941052 Hang during RTL Elaboration
  171. 001941058 unit_delay_setup unable to reset the delay for macros with internal clocks
  172. 001941779 remap_to_dedicated_clock_library not behaving as expected
  173. 001941813 Genus shows bogus [PHYS-2381] on power pins.
  174. 001942517 Genus: Segmentation fault during syn_map in top-level flow with ILMs
  175. 001942579 merge_to_multibit not happening with cdn_pam exception on the same (D pin) pin on two flops .
  176. 001942586 merge_to_multibit not happening on two flops with same timing exception -only diff is Q vs QN at o/p pin
  177. 001942657 connect_scan_chain runtime degraded in Genus 17.20
  178. 001942664 GRC number is optimistic in ILM flow due to missing routing blockage on ILM model
  179. 001943108 Genus does not understand find_design_objects in CPF
  180. 001943271 Genus incorrectly reports .is_clock_used_as_clock as true
  181. 001945706 ASC tries to check out extra Modus licenses.
  182. 001945933 Crash during Verilog Elaboration
  183. 001946463 Genus NOT applying set_clock_sense constraint to design
  184. 001947097 Verilog Elaboration hanging
  185. 001947200 unit_delay_setup info/help messaging needs to be updated
  186. 001948262 add_test_compression - disconnect constants before connecting pins
  187. 001948925 Long syn_map runtime - one large partition
  188. 001950409 IDBS fails with TUI-62 error
  189. 001950468 "request to add max_trans,max_cap and max_fanout attributes on the pins ."
  190. 001950495 [SV] read_hdl -sv fails on parsing endclass_identifier
  191. 001951374 Genus should have a native report always_on_cell command .
  192. 001951376 "report ""libcell"" also for report power_intent_instances"
  193. 001951764 Genus: base_cells attribute not populated depending on library_set definition
  194. 001951804 connect_scan_chain command crashes in Genus with 17.2
  195. 001951818 [SV] Request to support SV virtual class outside of a package body
  196. 001951861 get_object_name should not error out when an empty list is provided
  197. 001952333 report_power_intent_instances truncates names due to fixed width columns
  198. 001952334 Internal tcl error in syn_opt multibit synth.
  199. 001952489 Genus: mux with select pin tied high is not optimized out
  200. 001952943 Library parser should give appropriate error message if undefined parameter is used
  201. 001953081 get_attribute should not error when an empty list is provided .
  202. 001953102 Connect test ports of non-Genus clock gating cells without affecting the clock gating hierarchy
  203. 001953192 """all_connected -leaf_pin"" errors out when net connects to empty module"
  204. 001953843 "read_sdc errors out on looking for ""tclIndex"" utility."
  205. 001953870 nested get_property with empty list errors out
  206. 001953962 Issue a warning that asterisk in region or group name may increase def reading runtime.
  207. 001954253 identify_fanout_free_cones results affected by gate size and VT
  208. 001955225 Report_timing -split -net is missing libcell names on input pin rows
  209. 001955242 Align Genus remove_buffer_tree with Innovus deleteBufferTree
  210. 001955981 multibit level shifter cell is not identified as LP/level shifter shell after library loading
  211. 001956407 Genus does not stop on error INVS-1
  212. 001956448 change_link drops string for pinmap argument
  213. 001956455 crash during generic mapping on one partition with a runaway process
  214. 001956469 report_power after physical synthesis crashes
  215. 001956519 report_power not propagating updated values for lp_asserted_probability and lp_asserted_toggle_rate
  216. 001957020 IEE1500 wrapper insertion caused MSV violation on feedthrough nets
  217. 001957807 "Genus: Add command ""hdl_rename_cdn_latch_pins"""
  218. 001958375 boundary_optimize_invert_hier_pins_renaming_extension does not work
  219. 001959243 Error during generate_stack_vias
  220. 001959697 Commit_power_intent not inserting level shifters on the enable signal of isolation cell due to CPI inverter.
  221. 001960240 syn_gen causing Non-Eq pre PBS vs. post syn_gen
  222. 001960965 Genus: Genus log file reports reading non-existent SDC files
  223. 001961097 High logic depth seen in Genus compared to competition
  224. 001961149 write_do_lec in Genus is commenting required lines required for LEC pass
  225. 001961645 CDFG-438 elaboration error with parameterized recursive modules having interface modports
  226. 001961684 Genus does not accept a single timeunit declaration for unit and precision
  227. 001961863 write_power_intent command speedup
  228. 001962461 Seg fault with connect_scan_chains -preview
  229. 001962557 add_lbist error with changing hdl_reg_naming_style
  230. 001962693 Hang during Generic optimization
  231. 001962888 Genus: 'fix_dft_violations' causes errors after 'syn_gen -physical'
  232. 001963093 inconsitency on .is_flop for a synchronizer lib_cell and its instance
  233. 001963298 set_net_layer_constraints should use layer names as arguments for min_layer/max_layer options
  234. 001965551 Genus 18.10 seems to be deleting sequential elements during elaborate even though they have a /* cadence preserve_sequen
  235. 001966366 skip updating timing graph when doing SHEP write_design
  236. 001966380 unit_delay_setup corrupts database and makes slack 0 for all paths
  237. 001966463 identify_fanout_free_cones missing opportunities
  238. 001967064 extra inversion before re-circulate mux of ICG during syn_gen
  239. 001967589 set_operating_voltage takes 1.25 hours for 3 million instances design with 9 supplies
  240. 001967644 connect_dft_top_level reporting error when hooking up TDI port
  241. 001967690 clock period changed in opcg definition
  242. 001968394 Inefficient datapath implementation in customer block
  243. 001968486 RTL2GEN LEC NEQ due to crunch_case_solver
  244. 001968669 report_timing should support -collection option in GENUS also as supported in tempus
  245. 001970348 get_ports [all_fanout -clock_tree -level 0] errors out in legacy UI (Common UI is fine)
  246. 001970451 Need support for report_timing -collection in Genus.
  247. 001970576 each sink latency value are the same for different view
  248. 001970719 Improved MUX inference attributes not working as expected for early physical causing congestion/runtime during INVS POD
  249. 001971112 identify_cgics_controlling_shared_wrapper_cells_and_reconnect_test_pin command touchs user ICG
  250. 001971915 Wrong always on a cell at the output of level shifter (crossing between 1.35V --> 1.3V)
  251. 001972129 pd_msr_sys block is taking 10522 secs during elaboration
  252. 001972184 "Genus synthesis of ECO patch - with 16.22-s032_1 takes 14 min, with genus.18.11-s008_1 takes 2.1 hours"
  253. 001972615 Slow search for get_db pins <inst_name>/* in Genus and Innovus
  254. 001973089 write_do_lec failed when design have loop_breaker and wlec_cut_point is not 'none'
  255. 001973347 PMBIST-3042 Error in add_hard_repair due to floating adress interfaces in the efuse
  256. 001973513 RTL2ELAB NEQ in 18.10-d220 but EQ in 17.20-d350 category : 2 state and 4 state data type differentiation
  257. 001973879 PG Vias are not visible in GUI
  258. 001974533 generated SV wrapper by Genus has syntax errors in the case of unions
  259. 001975166 Unexpected timing report with launch and capture at zero on same clock.
  260. 001975405 write_hdl -pg does not write out the leaf power /ground pin connection
  261. 001975417 Crash during identify_multibit_cell_abstract_scan_segments due to find command
  262. 001975961 programmable direct access lbist + set_2d_compression_options -compressor misr
  263. 001976152 Const propagation not happening in pbs_distributed_mbci
  264. 001976156 extra bits in pbs_distributed_mbci compared to main thread merge_to_multibit (unloaded flops deleted )
  265. 001977856 single-bit simple flops shouldn't be converted to multibit complex flops with few inputs tied to constant
  266. 001978444 "Two dimensional array ports connected in reverse in bottom-up flow, causing Non-EQ."
  267. 001978578 Generic opt hangs
  268. 001979531 Enable physical shapes by default in Genus
  269. 001979603 Error in write_dft_atpg (write_et) in 18.11 on customer design
  270. 001980009 add_pmbist -dont_map crash in derive_domain_macro_bits_command
  271. 001980060 Common UI Need for File Row Column in 'report timing -fields'
  272. 001980157 connect_scan_chains -pack not packing the flops as expected
  273. 001980420 support cw_fp_recip
  274. 001981015 Genus leaving 50 percent unused bits although lower bit MB flop is available.
  275. 001981267 genus insert to many dedicated ieee 1500 wrappers
  276. 001981710 get_db tries to execute the indices inside the bracket
  277. 001982565 dont_touch false on instances during Mapping
  278. 001982813 syn_gen -physical SEGV
  279. 001983019 "In write_design -innovus , the timing_derates.tcl generated should not include the derates for power library"
  280. 001983670 scanDef incomplete when ISO cells are at top level
  281. 001983705 USC_GPR RTL2ELAB NEQ Genus 18.99-e052 due to hdl_reverse_priority_mux_chain_effort_level 5
  282. 001983775 Genus is not inferring parallel muxes for customer block
  283. 001984077 read_memory_view does not work in MMMC flow when same memory present in multiple library sets and wrapper specified
  284. 001984275 LW under Macro is not supported
  285. 001984433 constraint getting dropped on the ICG enable pin resulting in -38ns of initial target
  286. 001985519 Enhance the document to provide information about -lic_startup option while invoking Genus and correct the -waitt units.
  287. 001985887 syn_opt with use_multibit_cells true is resulting in segv
  288. 001986268 "11h syn_gen runtime generic, 51% of which spent in phys-update func"
  289. 001986275 Error during setting dft_abstract_dont_scan attribute from within replace_scan/connect_scan_chain
  290. 001986599 [add_lbist] add_lbist doesn't honor -hookup_polarity when intercepts test signals.
  291. 001986740 CPG need to align deselect_obj command between Genus and Innovus
  292. 001987181 Identify common logic may display redundant same extration in module.v
  293. 001987548 define_scan_abstract_segment fails when reading back invs2genus data in the Physical flow
  294. 001987738 Increase the precision for the cap values extracted in Genus from .libs
  295. 001987748 ungrouping doesnt work in auto library domain flow
  296. 001988565 XOR CG not inserted for multibit flops
  297. 001988906 why ungroup renames preserved net names?
  298. 001988977 Genus elaborates system verilog case inside statement incorrectly
  299. 001989233 Crash observed during syn_opt using GENUS 18.12_s012
  300. 001989497 unit_delay_setup does not work with generic cells
  301. 001990850 Genus is not updating the netlist properly when reading Innovus scan def and phys_keep_scandef_order is true
  302. 001991045 [map] Genus selects a particular type of flop cell for critical paths which blows up area
  303. 001991155 syn_gen deleting top module unloaded dont_touch & preserved nets
  304. 001991397 "support ""is_memory_cell true"" in LIB as tempus"
  305. 001992176 avoid tied inputs feature is not working and leaving few gates with tied inputs
  306. 001992186 "connect_scan_chain errors out saying ""libraries do not have usable inverters"""
  307. 001992408 ungroup command creates multidriver net
  308. 001992423 "Crash when bring up GUI : cut_polygon(garrayephys_point_s*>, Hasht*, int, boot, int, int) ()"
  309. 001992955 read_hdl hangs with both 17.2 and 18.11
  310. 001992958 set_output_delay not supporting if pin/port is mentioend after reference_pin
  311. 001993269 [fatal] syn_gen -phys finishes in the middle of pbs without any stack trace
  312. 001993288 Genus: RTL-2-Elab NonEQ - with foreach inside function
  313. 001994340 [scandef] Error from dft_trace_scandef_chains
  314. 001995480 syn_gen takes 3+ hours optimizing case logic
  315. 001995581 Support for set_port_attribute -clamp_value to be used to drive isolation insertion
  316. 001995585 Support for set_port_attribute -clamp_value to be used to drive isolation insertion
  317. 001995840 Duplicate when conditions found [LBR-96]
  318. 001996813 sdf large delay
  319. 001996984 Tracking: Fatal in Sequential Resynthesis
  320. 001998378 hdl_unconnected_value with ILM flow
  321. 002000127 assemble_design does not setup placement information correctly in ILM flow with incremental read_def
  322. 002000547 Genus inserts a normal inverter in a switchable domain when getting pushed from an always_on domain.
  323. 002001559 [map] target is off and easy to meet
  324. 002001830 write_power_intent is adding backslash for ungrouped instances even though removal feature is ON
  325. 002001961 pmbist_hri_async_reset needs to look for a PAD hookup pin and/or needs to accept a pin
  326. 002002047 4-5x relative wall clock runtime for generic compared to map
  327. 002003953 [NEQ] NEQ in RTL vs syn_gen for Genus 18.73-e033_1
  328. 002004184 Datapath timing closure
  329. 002004223 regexp option of get_nets is very unstable causing crashes
  330. 002005265 write_dft_rtl_model Errors out on a segmentation fault
  331. 002006450 TUI-62 message during add_pmbist in common_ui
  332. 002006480 RTL PMBIST SIU are generating out of bound addresses
  333. 002007057 Library Path not getting printed in logfile
  334. 002007336 base cell set usage percentage far from user setting
  335. 002008384 exact_match_seq reduces MB % and B/F
  336. 002008412 foreach_in_collection inputDPin $inputDPins {set foo [all_fanin -to $inputPin -flat -only_cells]} is 4x slower
  337. 002008514 Improve speed of get_net
  338. 002008516 improve get_cells runtime
  339. 002008553 NEQ due to propagate_constants till 19.10-d053 after that it is masked due to tbr_const_prop_on_datapath = 1
  340. 002008554 non MBIST related files are being changed durring 'write_dft_rtl_model'
  341. 002010332 The 'location' option of the memory config file as well as '-amu_location' switch of 'add_pmbist' are not working well
  342. 002011034 sync reset registers are not getting identified in elaboration
  343. 002011331 addition of -use_eeq_cell_with_liberty_info option while writing out netlist in genus
  344. 002012221 Weird DFT-320 messages/hookup verification slowing down run
  345. 002012770 Ehancement of 'write_name_mapping' to filter out ILM
  346. 002012974 Hang seen in apply_power_intent in 19.1 Genus build on customer designs
  347. 002013397 PMBIST errors out if last file read by read_hdl is VHDL
  348. 002013610 define_dft abstract_segment not working with libcell option
  349. 002013715 Bank based merging do not work during multithreaded MBCI
  350. 002013717 "CUI Genus supports a ""get_db -if {}"" construct that is not legal"
  351. 002014236 read_def -hier takes 3h40mins reading 3 ILM defs in assemble_design
  352. 002014790 power_gating_pin_class attribute inconsistency on the RET pin of flops between different library sets
  353. 002016083 Genus 18.12 syn_generic shows LEC issue
  354. 002016993 "IMM in Innovus, tool crashes if imm_data folder is empty when tools --> intra-Module matrix viewer clicked"
  355. 002016996 IMM in Innovus - should not require a pre-existing view.txt file
  356. 002018939 Support for apply_power_model -port_map to a constant
  357. 002019326 Treat LEF implant layer as masterslice in genus
  358. 002019609 "Genus inserting wrong LP cell type2 combo latch cells . Required cell type ""(type3 ISO+latch)"
  359. 002020420 rtl2elab NEQ due to less drivers on parameterized/uniquified instances's output pins
  360. 002021263 "Genus not inserting back to back isolation cell when valid_location -from is used in ""define_level_shifter"""
  361. 002021823 Method to control type of flip-flop (resettable vs non-resettable) used in test point insertion
  362. 002022360 add skew/latency constraints attribute pre-view
  363. 002022376 Segmentation Fault on assigning a library domain to the design
  364. 002022861 missing lockup latch between pipeline and mask regs
  365. 002022880 Genus is not honouring -output_domain option provided with level_shifter_rule in CPF
  366. 002022976 Print appropriate message for cases where AON rm_assign buffer couldn't be inserted due to missing backup supply.
  367. 002023411 CCE issue related with API getDrvrRemainingNetLength
  368. 002023523 get_object_name returns clock object with curly braces despite legacy_sdc_object_name
  369. 002025384 write_script fails due to vfind not searching with object type
  370. 002025520 sequential cells not merged because of pin_timing_exception related to path_groups constraint
  371. 002026662 please have dp_cluster honor gen_module_prefix
  372. 002026921 define_dft_abstract_segment with libcell option is not working in common-ui
  373. 002027058 read_db -mmmc_file fails to load db
  374. 002027630 cost_group db chain naming not working
  375. 002027717 shut off condition modified in tool dumped CPF
  376. 002028019 Bookshelf File M1 Min Area Rule MIsmatch
  377. 002028092 write_do_lec outputs wrong language option to a LEC script
  378. 002028330 Bubble pushing with boundary_optimize_invert_hpins is not naming pins as expected
  379. 002028773 Genus not respecting boundary_opto attribute
  380. 002028792 Segfault during apply_power_intent
  381. 002028826 Preserve size_ok is inconsistent with macro_cells in Genus 18.1
  382. 002029081 cell type balancing not working
  383. 002029540 check_dft_rule fails after commit_power_intent is executed on scan inserted db post map
  384. 002029607 Low frequency has larger area compared to high frequency at hybrid cell synthesis
  385. 002030645 Error while executing set_timing_derate command
  386. 002030657 Test mode constraint getting dropped
  387. 002030879 Different timing analysis behavior between Genus-Innovus once a rise/fall case analysis constraint is applied
  388. 002031188 1801-99 warning message to be changed to info
  389. 002031544 [dft] TUI-52 error during add_wir_signal_bits
  390. 002031597 Need a command to return mapped gates on the clock network
  391. 002033161 Fatal Removing Design
  392. 002033321 write_design fails with PMBIST-4016 error
  393. 002033929 Genus crashing while commiting power intent
  394. 002033966 "multiple Genus floorplanning issues: create_floorplan, create_row, create_track and gui"
  395. 002033975 enhance reporting of remap_to_dedicated_clock_library
  396. 002034241 Fatal in distributed iopt
  397. 002034553 Change default behavior of Genus Placer
  398. 002035008 Normal INV instead of AON in Switchable domain at the enable of ISONOR
  399. 002035036 Enhance error message CDFG-236 to provide more info
  400. 002035090 mapper crash with 18.12 genus
  401. 002035163 Genus & Innovus cell padding setting alignment
  402. 002035164 Is 'get_property <cell name> module' no longer supported in 19.1?
  403. 002035595 Genus Write_db taking 16+ hours
  404. 002036123 parameter or local parameter default value declared using function call is not working in Genus
  405. 002036172 "Non-eq due to incorrect ""Remainder"" module built during sharing"
  406. 002036287 add_pin_name_to_lp_instance=true messing up ISO/LS instances name pattern
  407. 002036515 syn_map -physical hangs
  408. 002036761 UPF- find_objects in Genus unable to find a port created by create_logic_port
  409. 002036763 Support define_power_model in 1801
  410. 002036781 CSA over shifter (19.1)
  411. 002036872 post commit_power_intent UPF has create_net /create_port : customer does not expect
  412. 002037519 Need to implement minima/maxima of a N-D array
  413. 002037910 Genus 1801 find_objects cannt find port created by create_logic_power
  414. 002038313 Tall Short Area Ratio Off
  415. 002038571 Genus SHEP hybrid mode crash issue
  416. 002038802 Genus should overwrite related_power/ground_pin in library from CPF
  417. 002039150 Address memory leak from path duplication command
  418. 002039569 "apply_power_intent segVs with set_connected_in_cln(GBI::Gpin*, GBI::Gnet*)"
  419. 002040845 write_do_lec -sim_lib not working as expected in Genus 18.12
  420. 002040943 "Customer request to rephrase the comments from check_floorplan to avoid ""Error"" ""Fatal"" as the starting words."
  421. 002041801 fan-in logic of save/restore control of set_retention rule is optimized away during synthesis
  422. 002042928 Genus modifies certain set_multicycle_path constraints for hold checking
  423. 002043244 "apply_power_intent segVs with set_connected_in_cln(GBI::Gpin*, GBI::Gnet*)"
  424. 002043309 state_retention cmd is not connecting save/restore pins of retention_rule to instance's respective pins
  425. 002043403 syn_generic -physical hang
  426. 002043938 Incorrect FRC info post generic for flops.
  427. 002044302 Genus clock gating issue in JTAG macro
  428. 002044587 Enhance insert_dft wrapper-cell to continue insertion when wrong exclude port given
  429. 002045253 Isolation cell insertion on constant nets irrespective of polarity/clamp value and not optimize them
  430. 002045285 qrcTechFile extraction error during physical synthesis
  431. 002045325 Enhance dft_test_signal to support internal pins
  432. 002045344 Enhance insert_dft wrapper_cell to add only one OR gate for each input_cfi and output_cfi
  433. 002045352 Enhance Test Interconnect Macro to support concetanation of input and output bounding flops separately
  434. 002045367 Enhance auto connecting of test_signals to TIM without compactor dependency
  435. 002045852 "segV QMessageLogger::fatal(char const*, ...)"
  436. 002045898 check_dft_rules takes an hour on generic netlist
  437. 002045970 Bad logic created when hdl_flatten_complex_port is true and logic converted to typedef struct
  438. 002046246 Enhance add_core_wrapper_cell to reduce shared wrapper maping runtime
  439. 002046420 [DOC] write_hdl needs to clarify when Genus writes out PG pins
  440. 002046742 IMM GUI bring-up taking 10 hrs possibly as its trying to bring up a matrix of hierachy depth 7
  441. 002047037 GENUS timing degradation due to cell selection
  442. 002047072 Improve runtime for insert_dft wrapper_cell insertion
  443. 002047178 Incorrect pinassign file when specifying define_opcg_trigger with internal pin
  444. 002047258 writing internal pg_pin in pg_netlist
  445. 002047319 Incosistency between write_spef and write_hdl leading to error in sign off tool
  446. 002047723 "In B2B ISO/LS scenario, Single bit cell is getting merged with multibit cell"
  447. 002048546 Support for async reset
  448. 002049085 Non determinism during global gen with e143
  449. 002049136 read_hdl is causing errors in 18.73
  450. 002049182 Incorrect report_qor output a) unit mismatch b) incorrect total tns/view
  451. 002049237 "SegV QMessageLogger::fatal(char const*, ...) - when depth value set is less than the max hier depth of view.txt"
  452. 002049259 [DBU] Can you please support the mismatching unit between tech LEF and DEF?
  453. 002049310 Genus 19.10 Segmentation Fault during Elaboration
  454. 002049345 37 minutes updating generic congestion map on 530k instance design
  455. 002050021 Seg Fault When Reading DB
  456. 002050655 Genus 18.14s029 build - write_db generated DB cant be read back in..
  457. 002050747 AreaPowerOptimize -honor_percent_control Fatal
  458. 002050748 AreaPowerOptimize -honor_percent_control not balancing
  459. 002051019 Genus inserting back to back Level shifter which is causing CLP error CROSSING_VOLTAGE_CONFLICT_LSH
  460. 002051417 Genus crashes in 18.72-e153 build when dpopt_lookahead_opto_before_score>0
  461. 002052034 check_dft_rules command causing a hang in the session
  462. 002052761 [fatal] updating congestion map crashes at invs2genus stage only with new DBU
  463. 002054438 get_attr slack is taking 25 minutes for pre-syn_gen netlist
  464. 002054933 Support UPF 3.0 parser
  465. 002055110 Reading back invs2genus.scan.def errors out due to escaped instance names
  466. 002055229 Genus is crashing in Full Physical Flow in 18.10-p002_1
  467. 002056016 WNS matrix color map shows green for WNS -0.5 to -0.000001
  468. 002056718 [DBU] db_units attr blows up generic timing
  469. 002056786 hang in check_power_intent -detail
  470. 002056817 "Genus issuing LIBDIAG of addDominatingPair: ASSERT ""0"""
  471. 002057682 long Clock gating runtime in one of the partitions
  472. 002059006 ERROR TUI-18 while reading the db.
  473. 002062502 crash in commit_power_inent
  474. 002063337 Clock gating Test Enable connection for gaters marked as PRESERVE
  475. 002063521 Genus crashes due to pg-net db-incompatibility issue
  476. 002065101 unit_delay_setup is wrong for macros in 19.1
  477. 002065494 get_attr command from SDC results in error
  478. 002065807 19.1-d291 crashes during while reading DB
  479. 002066588 hang with ADM on one partition
  480. 002066796 Genus crashes during syn_opt
  481. 002068058 PLE is impacted by DPU change (placeholder)
  482. 002069198 Crash during syn_gen -physical with 19.10-d296_1
  483. 002069507 crash during read_tcf
  484. 002072981 Observing crash during syn_gen ( mux reorder optimization ) using Genus version 19.10-d305_1
  485. 002073010 Fatal error-crash with 19.10-d305_1 at syn_gen -phys -CUI
  486. 002073480 Observed SegV during elaboration with 19.10-d305
  487. 002076359 true non-eq in arithmetic in syn_generic step
  488. 002076365 true non-eq in combinational logic in syn_generic step
  489. 002076445 The message ID LBR-101 and LBR-415 are not inline with the main statement of the Warning
  490. 002076458 Message Summary during syn_gen is not aligned
  491. 002076854 To remove check_design_latest command related document from command_reference manual
  492. 002077198 Loading 18.12 DB in 19.10 causes failure in setting 'pi_no_buffering' attribute
  493. 002078522 Extremely long runtime during 'syn_gen -phys' related to RTLOPT-30
  494. 002081749 LBIST with MMMC setup
  495. 002082574 get_db <root_attribute> -if {.<attr_name> == $array(variable) } doesn't work in 19.1
  496. 002083125 If statement tcl error in 19.1
  497. 002084460 Scan def has invalid stop points for invalid scan flops
  498. 002084463 Scan Def invalid for 1500 DWC flops
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement