Advertisement
Guest User

mbair-lojax-chipsec240419-log-verbose.txt

a guest
Apr 23rd, 2019
99
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 255.60 KB | None | 0 0
  1. ################################################################
  2. ## ##
  3. ## CHIPSEC: Platform Hardware Security Assessment Framework ##
  4. ## ##
  5. ################################################################
  6. [CHIPSEC] Version 1.3.7
  7. [CHIPSEC] Arguments: -v -l /home/liveuser/Desktop/chipsec_iout-verbose.txt
  8. [helper] Linux Helper created
  9. Module /dev/chipsec loaded successfully
  10. ****** Chipsec Linux Kernel module is licensed under GPL 2.0
  11. [helper] Linux Helper started/loaded
  12. [CHIPSEC] API mode: using CHIPSEC kernel module API
  13. [pci] reading B/D/F: 0/0/0, offset: 0x00, value: 0x16048086
  14. [pci] reading B/D/F: 0/31/0, offset: 0x00, value: 0x9CC38086
  15. [*] Couldn't import chipsec.cfg.bdw
  16. No module named bdw
  17. [*] Configuration Files:
  18. [*] - /home/liveuser/chipsec/chipsec/cfg/apl.xml
  19. [*] - /home/liveuser/chipsec/chipsec/cfg/avn.xml
  20. [*] - /home/liveuser/chipsec/chipsec/cfg/bdw.xml
  21. [*] - /home/liveuser/chipsec/chipsec/cfg/bdx.xml
  22. [*] - /home/liveuser/chipsec/chipsec/cfg/byt.xml
  23. [*] - /home/liveuser/chipsec/chipsec/cfg/cfl.xml
  24. [*] - /home/liveuser/chipsec/chipsec/cfg/cht.xml
  25. [*] - /home/liveuser/chipsec/chipsec/cfg/common.xml
  26. [*] - /home/liveuser/chipsec/chipsec/cfg/dnv.xml
  27. [*] - /home/liveuser/chipsec/chipsec/cfg/hsw.xml
  28. [*] - /home/liveuser/chipsec/chipsec/cfg/hsx.xml
  29. [*] - /home/liveuser/chipsec/chipsec/cfg/iommu.xml
  30. [*] - /home/liveuser/chipsec/chipsec/cfg/ivt.xml
  31. [*] - /home/liveuser/chipsec/chipsec/cfg/jkt.xml
  32. [*] - /home/liveuser/chipsec/chipsec/cfg/kbl.xml
  33. [*] - /home/liveuser/chipsec/chipsec/cfg/pch_1xx.xml
  34. [*] - /home/liveuser/chipsec/chipsec/cfg/pch_2xx.xml
  35. [*] - /home/liveuser/chipsec/chipsec/cfg/pch_3xx.xml
  36. [*] - /home/liveuser/chipsec/chipsec/cfg/pch_c60x.xml
  37. [*] - /home/liveuser/chipsec/chipsec/cfg/pch_c61x.xml
  38. [*] - /home/liveuser/chipsec/chipsec/cfg/pch_c620.xml
  39. [*] - /home/liveuser/chipsec/chipsec/cfg/qrk.xml
  40. [*] - /home/liveuser/chipsec/chipsec/cfg/skl.xml
  41. [*] - /home/liveuser/chipsec/chipsec/cfg/template.xml
  42. [*] - /home/liveuser/chipsec/chipsec/cfg/whl.xml
  43. [*] Loading Configuration Files:
  44. [*] looking for platform config in '/home/liveuser/chipsec/chipsec/cfg/common.xml'..
  45. [*] loading common platform config from '/home/liveuser/chipsec/chipsec/cfg/common.xml'..
  46. [*] loading integrated devices/controllers..
  47. + HOSTCTRL : {'fun': '0', 'bus': '0', 'dev': '0x00', 'vid': '0x8086'}
  48. + PEG10 : {'fun': '0', 'bus': '0', 'dev': '0x01', 'vid': '0x8086'}
  49. + PEG11 : {'fun': '1', 'bus': '0', 'dev': '0x01', 'vid': '0x8086'}
  50. + PEG12 : {'fun': '2', 'bus': '0', 'dev': '0x01', 'vid': '0x8086'}
  51. + IGD : {'fun': '0', 'bus': '0', 'dev': '0x02', 'vid': '0x8086'}
  52. + HDAUDIO : {'fun': '0', 'bus': '0', 'dev': '0x03', 'vid': '0x8086'}
  53. + XHCI : {'fun': '0', 'bus': '0', 'dev': '0x14', 'vid': '0x8086'}
  54. + MEI1 : {'fun': '0', 'bus': '0', 'dev': '0x16', 'vid': '0x8086'}
  55. + MEI2 : {'fun': '1', 'bus': '0', 'dev': '0x16', 'vid': '0x8086'}
  56. + IDER : {'fun': '2', 'bus': '0', 'dev': '0x16', 'vid': '0x8086'}
  57. + KT : {'fun': '3', 'bus': '0', 'dev': '0x16', 'vid': '0x8086'}
  58. + GBE : {'fun': '0', 'bus': '0', 'dev': '0x19', 'vid': '0x8086'}
  59. + EHCI2 : {'fun': '0', 'bus': '0', 'dev': '0x1A', 'vid': '0x8086'}
  60. + AUDIO : {'fun': '0', 'bus': '0', 'dev': '0x1B', 'vid': '0x8086'}
  61. + PCIE1 : {'fun': '0', 'bus': '0', 'dev': '0x1C', 'vid': '0x8086'}
  62. + PCIE2 : {'fun': '1', 'bus': '0', 'dev': '0x1C', 'vid': '0x8086'}
  63. + PCIE3 : {'fun': '2', 'bus': '0', 'dev': '0x1C', 'vid': '0x8086'}
  64. + PCIE4 : {'fun': '3', 'bus': '0', 'dev': '0x1C', 'vid': '0x8086'}
  65. + PCIE5 : {'fun': '4', 'bus': '0', 'dev': '0x1C', 'vid': '0x8086'}
  66. + PCIE6 : {'fun': '5', 'bus': '0', 'dev': '0x1C', 'vid': '0x8086'}
  67. + PCIE7 : {'fun': '6', 'bus': '0', 'dev': '0x1C', 'vid': '0x8086'}
  68. + PCIE8 : {'fun': '7', 'bus': '0', 'dev': '0x1C', 'vid': '0x8086'}
  69. + EHCI1 : {'fun': '0', 'bus': '0', 'dev': '0x1D', 'vid': '0x8086'}
  70. + LPC : {'fun': '0', 'bus': '0', 'dev': '0x1F', 'vid': '0x8086'}
  71. + SATA1 : {'fun': '2', 'bus': '0', 'dev': '0x1F', 'vid': '0x8086'}
  72. + SMBUS : {'fun': '3', 'bus': '0', 'dev': '0x1F', 'vid': '0x8086'}
  73. + SATA2 : {'fun': '5', 'bus': '0', 'dev': '0x1F', 'vid': '0x8086'}
  74. + THERMAL : {'fun': '6', 'bus': '0', 'dev': '0x1F', 'vid': '0x8086'}
  75. [*] loading MMIO BARs..
  76. + PXPEPBAR : {'enable_bit': '0', 'bus': '0', 'mask': '0x7FFFFFF000', 'dev': '0', 'width': '8', 'fun': '0', 'size': '0x1000', 'reg': '0x40', 'desc': 'PCI Express Egress Port Register Range'}
  77. + MCHBAR : {'enable_bit': '0', 'bus': '0', 'mask': '0x7FFFFF8000', 'dev': '0', 'width': '8', 'fun': '0', 'size': '0x8000', 'reg': '0x48', 'desc': 'Host Memory Mapped Register Range'}
  78. + MMCFG : {'enable_bit': '0', 'bus': '0', 'mask': '0x7FFFFFF000', 'dev': '0', 'width': '8', 'fun': '0', 'size': '0x1000', 'reg': '0x60', 'desc': 'PCI Express Register Range'}
  79. + DMIBAR : {'enable_bit': '0', 'bus': '0', 'mask': '0x7FFFFFF000', 'dev': '0', 'width': '8', 'fun': '0', 'size': '0x1000', 'reg': '0x68', 'desc': 'Root Complex Register Range'}
  80. + GTTMMADR : {'bus': '0', 'mask': '0x7FFFC00000', 'dev': '2', 'width': '8', 'fun': '0', 'reg': '0x10', 'desc': 'Graphics Translation Table Range'}
  81. + GMADR : {'bus': '0', 'mask': '0x7FF8000000', 'dev': '2', 'width': '8', 'fun': '0', 'reg': '0x18', 'desc': 'Graphics Memory Range'}
  82. + HDABAR : {'bus': '0', 'mask': '0x7FFFFFF000', 'dev': '3', 'width': '8', 'fun': '0', 'size': '0x1000', 'reg': '0x10', 'desc': 'HD Audio Controller Register Range'}
  83. + HDBAR : {'bus': '0', 'mask': '0x7FFFFFC000', 'dev': '0x1B', 'width': '8', 'fun': '0', 'size': '0x1000', 'reg': '0x10', 'desc': 'PCH HD Audio Controller Register Range'}
  84. + RCBA : {'enable_bit': '0', 'bus': '0', 'mask': '0xFFFFC000', 'dev': '0x1F', 'width': '4', 'fun': '0', 'size': '0x4000', 'reg': '0xF0', 'desc': 'PCH Root Complex Register Range'}
  85. + SPIBAR : {'enable_bit': '0', 'bus': '0', 'mask': '0xFFFFC000', 'dev': '0x1F', 'width': '4', 'offset': '0x3800', 'fun': '0', 'size': '0x200', 'reg': '0xF0', 'desc': 'SPI Controller Register Range'}
  86. + RCBA_RTC : {'enable_bit': '0', 'bus': '0', 'mask': '0xFFFFC000', 'dev': '0x1F', 'width': '4', 'offset': '0x3400', 'fun': '0', 'size': '0x200', 'reg': '0xF0', 'desc': 'General Control Register Range'}
  87. + VTBAR : {'base_field': 'Base', 'enable_field': 'Enable', 'desc': 'Intel VT-d Register Register Range', 'register': 'VTBAR', 'size': '0x1000'}
  88. + GFXVTBAR : {'base_field': 'Base', 'enable_field': 'Enable', 'desc': 'Intel Processor Graphics VT-d Register Range', 'register': 'GFXVTBAR', 'size': '0x1000'}
  89. [*] loading I/O BARs..
  90. + ABASE : {'size': '0x80', 'register': 'ABASE', 'base_field': 'Base', 'desc': 'ACPI Base Address'}
  91. + PMBASE : {'size': '0x80', 'register': 'ABASE', 'base_field': 'Base', 'desc': 'ACPI Base Address'}
  92. + TCOBASE : {'base_field': 'Base', 'offset': '0x60', 'desc': 'TCO Base Address', 'register': 'ABASE', 'size': '0x80'}
  93. + GPIOBASE : {'size': '0x80', 'register': 'GPIOBASE', 'base_field': 'Base', 'desc': 'GPIO Base Address'}
  94. + SMBUS_BASE : {'size': '0x20', 'register': 'SMB_BASE', 'base_field': 'Base', 'desc': 'SMBus Base Address'}
  95. [*] loading memory ranges..
  96. + Legacy DOS : {'address': '0x0', 'type': 'dram', 'size': '0x100000'}
  97. + TPM : {'address': '0xFED40000', 'type': 'mmio', 'size': '0x10000'}
  98. [*] loading configuration registers..
  99. + PCI0.0.0_VID : {'bus': '0', 'dev': '0', 'offset': '0x0', 'fun': '0', 'size': '2', 'type': 'pcicfg', 'desc': 'Vendor ID'}
  100. + PCI0.0.0_DID : {'bus': '0', 'dev': '0', 'offset': '0x2', 'fun': '0', 'size': '2', 'type': 'pcicfg', 'desc': 'Device ID'}
  101. + PCI0.0.0_PXPEPBAR: {'bus': '0', 'dev': '0', 'offset': '0x40', 'fun': '0', 'size': '8', 'type': 'pcicfg', 'desc': 'PCI Express Egress Port Base Address'}
  102. + PCI0.0.0_MCHBAR : {'bus': '0', 'dev': '0', 'offset': '0x48', 'fun': '0', 'size': '8', 'type': 'pcicfg', 'desc': 'MCH Base Address'}
  103. + PCI0.0.0_GGC : {'bus': '0', 'dev': '0', 'offset': '0x50', 'fun': '0', 'FIELDS': {'GGCLOCK': {'bit': '0', 'size': '1', 'desc': 'GGC Lock'}}, 'size': '2', 'type': 'pcicfg', 'desc': 'Graphics Control'}
  104. + PCI0.0.0_DEVEN : {'bus': '0', 'dev': '0', 'offset': '0x54', 'fun': '0', 'size': '4', 'type': 'pcicfg', 'desc': 'Device Enables'}
  105. + PCI0.0.0_PAVPC : {'bus': '0', 'dev': '0', 'offset': '0x58', 'fun': '0', 'FIELDS': {'PAVPLCK': {'bit': '2', 'size': '1', 'desc': 'Lock'}}, 'size': '4', 'type': 'pcicfg', 'desc': 'PAVP Configuration'}
  106. + PCI0.0.0_DPR : {'bus': '0', 'dev': '0', 'offset': '0x5C', 'fun': '0', 'FIELDS': {'LOCK': {'bit': '0', 'size': '1', 'desc': 'Lock'}}, 'size': '4', 'type': 'pcicfg', 'desc': 'DMA Protected Range'}
  107. + PCI0.0.0_PCIEXBAR: {'bus': '0', 'dev': '0', 'offset': '0x60', 'fun': '0', 'size': '8', 'type': 'pcicfg', 'desc': 'PCIe MMCFG Base Address'}
  108. + PCI0.0.0_DMIBAR : {'bus': '0', 'dev': '0', 'offset': '0x68', 'fun': '0', 'size': '8', 'type': 'pcicfg', 'desc': 'DMI Base Address'}
  109. + PCI0.0.0_MESEG_BASE: {'bus': '0', 'dev': '0', 'offset': '0x70', 'fun': '0', 'size': '8', 'type': 'pcicfg', 'desc': 'Manageability Engine Base Address Register'}
  110. + PCI0.0.0_MESEG_MASK: {'bus': '0', 'dev': '0', 'offset': '0x78', 'fun': '0', 'FIELDS': {'MELCK': {'bit': '10', 'size': '1', 'desc': 'Lock'}}, 'size': '8', 'type': 'pcicfg', 'desc': 'Manageability Engine Limit Address Register'}
  111. + PCI0.0.0_PAM0 : {'bus': '0', 'dev': '0', 'offset': '0x80', 'fun': '0', 'size': '1', 'type': 'pcicfg', 'desc': 'Programmable Attribute Map 0'}
  112. + PCI0.0.0_PAM1 : {'bus': '0', 'dev': '0', 'offset': '0x81', 'fun': '0', 'size': '1', 'type': 'pcicfg', 'desc': 'Programmable Attribute Map 1'}
  113. + PCI0.0.0_PAM2 : {'bus': '0', 'dev': '0', 'offset': '0x82', 'fun': '0', 'size': '1', 'type': 'pcicfg', 'desc': 'Programmable Attribute Map 2'}
  114. + PCI0.0.0_PAM3 : {'bus': '0', 'dev': '0', 'offset': '0x83', 'fun': '0', 'size': '1', 'type': 'pcicfg', 'desc': 'Programmable Attribute Map 3'}
  115. + PCI0.0.0_PAM4 : {'bus': '0', 'dev': '0', 'offset': '0x84', 'fun': '0', 'size': '1', 'type': 'pcicfg', 'desc': 'Programmable Attribute Map 4'}
  116. + PCI0.0.0_PAM5 : {'bus': '0', 'dev': '0', 'offset': '0x85', 'fun': '0', 'size': '1', 'type': 'pcicfg', 'desc': 'Programmable Attribute Map 5'}
  117. + PCI0.0.0_PAM6 : {'bus': '0', 'dev': '0', 'offset': '0x86', 'fun': '0', 'size': '1', 'type': 'pcicfg', 'desc': 'Programmable Attribute Map 6'}
  118. + PCI0.0.0_LAC : {'bus': '0', 'dev': '0', 'offset': '0x87', 'fun': '0', 'size': '1', 'type': 'pcicfg', 'desc': 'Legacy Access Control'}
  119. + PCI0.0.0_SMRAMC : {'bus': '0', 'dev': '0', 'offset': '0x88', 'fun': '0', 'FIELDS': {'D_CLS': {'bit': '5', 'size': '1', 'desc': 'SMRAM Closed'}, 'D_OPEN': {'bit': '6', 'size': '1', 'desc': 'SMRAM Open'}, 'D_LCK': {'bit': '4', 'size': '1', 'desc': 'SMRAM Locked'}, 'G_SMRAME': {'bit': '3', 'size': '1', 'desc': 'SMRAM Enabled'}, 'C_BASE_SEG': {'bit': '0', 'size': '3', 'desc': 'SMRAM Base Segment = 010b'}}, 'size': '1', 'type': 'pcicfg', 'desc': 'System Management RAM Control'}
  120. + PCI0.0.0_REMAPBASE: {'bus': '0', 'dev': '0', 'offset': '0x90', 'fun': '0', 'FIELDS': {'LOCK': {'bit': '0', 'size': '1', 'desc': 'Lock'}}, 'size': '8', 'type': 'pcicfg', 'desc': 'Memory Remap Base Address'}
  121. + PCI0.0.0_REMAPLIMIT: {'bus': '0', 'dev': '0', 'offset': '0x98', 'fun': '0', 'FIELDS': {'LOCK': {'bit': '0', 'size': '1', 'desc': 'Lock'}}, 'size': '8', 'type': 'pcicfg', 'desc': 'Memory Remap Limit Address'}
  122. + PCI0.0.0_TOM : {'bus': '0', 'dev': '0', 'offset': '0xA0', 'fun': '0', 'FIELDS': {'LOCK': {'bit': '0', 'size': '1', 'desc': 'Lock'}, 'TOM': {'bit': '20', 'size': '19', 'desc': 'Top of Memory'}}, 'size': '8', 'type': 'pcicfg', 'desc': 'Top of Memory'}
  123. + PCI0.0.0_TOUUD : {'bus': '0', 'dev': '0', 'offset': '0xA8', 'fun': '0', 'FIELDS': {'LOCK': {'bit': '0', 'size': '1', 'desc': 'Lock'}, 'TOUUD': {'bit': '20', 'size': '19', 'desc': 'Top of Upper Usable DRAM'}}, 'size': '8', 'type': 'pcicfg', 'desc': 'Top of Upper Usable DRAM'}
  124. + PCI0.0.0_BDSM : {'bus': '0', 'dev': '0', 'offset': '0xB0', 'fun': '0', 'FIELDS': {'LOCK': {'bit': '0', 'size': '1', 'desc': 'Lock'}}, 'size': '4', 'type': 'pcicfg', 'desc': 'Base of Graphics Stolen Memory'}
  125. + PCI0.0.0_BGSM : {'bus': '0', 'dev': '0', 'offset': '0xB4', 'fun': '0', 'FIELDS': {'BGSM': {'bit': '20', 'size': '12', 'desc': 'Base of GTT Stolen Memory'}, 'LOCK': {'bit': '0', 'size': '1', 'desc': 'Lock'}}, 'size': '4', 'type': 'pcicfg', 'desc': 'Base of GTT Stolen Memory'}
  126. + PCI0.0.0_TSEGMB : {'bus': '0', 'dev': '0', 'offset': '0xB8', 'fun': '0', 'FIELDS': {'LOCK': {'bit': '0', 'size': '1', 'desc': 'Lock'}, 'TSEGMB': {'bit': '20', 'size': '12', 'desc': 'TSEG Memory Base'}}, 'size': '4', 'type': 'pcicfg', 'desc': 'TSEG Memory Base'}
  127. + PCI0.0.0_TOLUD : {'bus': '0', 'dev': '0', 'offset': '0xBC', 'fun': '0', 'FIELDS': {'LOCK': {'bit': '0', 'size': '1', 'desc': 'Lock'}, 'TOLUD': {'bit': '20', 'size': '12', 'desc': 'Top of Lower Usable DRAM'}}, 'size': '4', 'type': 'pcicfg', 'desc': 'Top of Low Usable DRAM'}
  128. + PCI0.0.0_SKPD : {'bus': '0', 'dev': '0', 'offset': '0xDC', 'fun': '0', 'size': '4', 'type': 'pcicfg', 'desc': 'Scratchpad Data'}
  129. + PCI0.0.0_CAPID0_A: {'bus': '0', 'dev': '0', 'offset': '0xE4', 'fun': '0', 'size': '4', 'type': 'pcicfg', 'desc': 'Capabilities A'}
  130. + PCI0.0.0_CAPID0_B: {'bus': '0', 'dev': '0', 'offset': '0xE8', 'fun': '0', 'size': '4', 'type': 'pcicfg', 'desc': 'Capabilities B'}
  131. + PCI0.2.0_DID : {'bus': '0', 'dev': '2', 'offset': '2', 'fun': '0', 'size': '2', 'type': 'pcicfg', 'desc': 'Device Identification Number'}
  132. + ABASE : {'bus': '0', 'dev': '0x1F', 'offset': '0x40', 'fun': '0', 'FIELDS': {'Base': {'bit': '7', 'size': '9', 'desc': 'Base Address'}}, 'size': '4', 'type': 'pcicfg', 'desc': 'ACPI Base Address'}
  133. + GPIOBASE : {'bus': '0', 'dev': '0x1F', 'offset': '0x48', 'fun': '0', 'FIELDS': {'Base': {'bit': '7', 'size': '9', 'desc': 'Base Address'}}, 'size': '4', 'type': 'pcicfg', 'desc': 'GPIO Base Address'}
  134. + GC : {'bus': '0', 'dev': '0x1F', 'offset': '0x4C', 'fun': '0', 'FIELDS': {'EN': {'bit': '4', 'size': '1', 'desc': 'GPIO Enable'}, 'GLE': {'bit': '0', 'size': '1', 'desc': 'GPIO Lockdown Enable'}}, 'size': '1', 'type': 'pcicfg', 'desc': 'GPIO Control'}
  135. + GEN_PMCON_1 : {'bus': '0', 'dev': '0x1f', 'offset': '0xA0', 'fun': '0', 'FIELDS': {'SMI_LOCK': {'bit': '4', 'desc': '', 'size': '1'}}, 'size': '2', 'type': 'pcicfg', 'desc': 'General PM Configuration 1'}
  136. + BC : {'bus': '0', 'dev': '0x1F', 'offset': '0xDC', 'fun': '0', 'FIELDS': {'BLE': {'bit': '1', 'size': '1', 'desc': 'BIOS Lock Enable'}, 'SRC': {'bit': '2', 'size': '2', 'desc': 'SPI Read Configuration'}, 'SMM_BWP': {'bit': '5', 'size': '1', 'desc': 'SMM BIOS Write Protection'}, 'BIOSWE': {'bit': '0', 'size': '1', 'desc': 'BIOS Write Enable'}, 'TSS': {'bit': '4', 'size': '1', 'desc': 'Top Swap Status'}}, 'size': '1', 'type': 'pcicfg', 'desc': 'BIOS Control'}
  137. + SMBUS_VID : {'bus': '0', 'dev': '0x1F', 'offset': '0x00', 'fun': '3', 'size': '2', 'type': 'pcicfg', 'desc': 'VID'}
  138. + SMBUS_DID : {'bus': '0', 'dev': '0x1F', 'offset': '0x02', 'fun': '3', 'size': '2', 'type': 'pcicfg', 'desc': 'DID'}
  139. + SMBUS_CMD : {'bus': '0', 'dev': '0x1F', 'offset': '0x04', 'fun': '3', 'size': '2', 'type': 'pcicfg', 'desc': 'CMD'}
  140. + SMB_BASE : {'bus': '0', 'dev': '0x1F', 'offset': '0x20', 'fun': '3', 'FIELDS': {'Base': {'bit': '5', 'size': '11', 'desc': 'Base Address'}}, 'size': '4', 'type': 'pcicfg', 'desc': 'SMBus Base Address'}
  141. + SMBUS_HCFG : {'bus': '0', 'dev': '0x1F', 'offset': '0x40', 'fun': '3', 'FIELDS': {'I2C_EN': {'bit': '2', 'desc': '', 'size': '2'}, 'SPD_WD': {'bit': '4', 'desc': '', 'size': '1'}, 'SSRESET': {'bit': '3', 'desc': '', 'size': '1'}, 'SMB_SMI_EN': {'bit': '1', 'desc': '', 'size': '1'}, 'HST_EN': {'bit': '0', 'desc': '', 'size': '1'}}, 'size': '1', 'type': 'pcicfg', 'desc': 'Host Configuration'}
  142. + HFS : {'bus': '0', 'dev': '0x16', 'offset': '0x40', 'fun': '0', 'FIELDS': {'UPDATE_IN_PROGRESS': {'bit': '11', 'size': '1', 'desc': 'ME Update In Progress'}, 'MFG_MODE': {'bit': '4', 'size': '1', 'desc': 'ME Manufacturing Mode'}, 'FW_INIT_COMPLETE': {'bit': '9', 'size': '1', 'desc': 'ME Firmware Initialization Complete'}}, 'size': '4', 'type': 'pcicfg', 'desc': 'ME Host Firmware Status'}
  143. + BFPR : {'bar': 'SPIBAR', 'offset': '0x00', 'FIELDS': {'PRL': {'bit': '16', 'size': '13', 'desc': 'BIOS Flash Primary Region Limit'}, 'PRB': {'bit': '0', 'size': '13', 'desc': 'BIOS Flash Primary Region Base'}}, 'desc': 'BIOS Flash Primary Region Register (= FREG1)', 'type': 'mmio', 'size': '4'}
  144. + HSFS : {'bar': 'SPIBAR', 'offset': '0x04', 'FIELDS': {'SCIP': {'bit': '5', 'size': '1', 'desc': 'SPI cycle in progress'}, 'FLOCKDN': {'bit': '15', 'size': '1', 'desc': 'Flash Configuration Lock-Down'}, 'FCERR': {'bit': '1', 'size': '1', 'desc': 'Flash Cycle Error'}, 'BERASE': {'bit': '3', 'size': '2', 'desc': 'Block/Sector Erase Size'}, 'FDV': {'bit': '14', 'size': '1', 'desc': 'Flash Descriptor Valid'}, 'FDOPSS': {'bit': '13', 'size': '1', 'desc': 'Flash Descriptor Override Pin-Strap Status'}, 'FDONE': {'bit': '0', 'size': '1', 'desc': 'Flash Cycle Done'}, 'AEL': {'bit': '2', 'size': '1', 'desc': 'Access Error Log'}}, 'desc': 'Hardware Sequencing Flash Status Register', 'type': 'mmio', 'size': '2'}
  145. + HSFC : {'bar': 'SPIBAR', 'offset': '0x06', 'FIELDS': {'FSMIE': {'bit': '15', 'size': '1', 'desc': 'Flash SPI SMI Enable'}, 'FCYCLE': {'bit': '1', 'size': '2', 'desc': 'Flash Cycle'}, 'FGO': {'bit': '0', 'size': '1', 'desc': 'Flash Cycle GO'}, 'FDBC': {'bit': '8', 'size': '6', 'desc': 'Flash Data Byte Count, Count = FDBC + 1'}}, 'desc': 'Hardware Sequencing Flash Control Register', 'type': 'mmio', 'size': '2'}
  146. + FADDR : {'bar': 'SPIBAR', 'offset': '0x08', 'desc': 'Flash Address Register', 'type': 'mmio', 'size': '4'}
  147. + FDATA0 : {'bar': 'SPIBAR', 'offset': '0x10', 'desc': 'Flash Data 0', 'type': 'mmio', 'size': '4'}
  148. + FDATA1 : {'bar': 'SPIBAR', 'offset': '0x14', 'desc': 'Flash Data 1', 'type': 'mmio', 'size': '4'}
  149. + FDATA2 : {'bar': 'SPIBAR', 'offset': '0x18', 'desc': 'Flash Data 2', 'type': 'mmio', 'size': '4'}
  150. + FDATA3 : {'bar': 'SPIBAR', 'offset': '0x1C', 'desc': 'Flash Data 3', 'type': 'mmio', 'size': '4'}
  151. + FDATA4 : {'bar': 'SPIBAR', 'offset': '0x20', 'desc': 'Flash Data 4', 'type': 'mmio', 'size': '4'}
  152. + FDATA5 : {'bar': 'SPIBAR', 'offset': '0x24', 'desc': 'Flash Data 5', 'type': 'mmio', 'size': '4'}
  153. + FDATA6 : {'bar': 'SPIBAR', 'offset': '0x28', 'desc': 'Flash Data 6', 'type': 'mmio', 'size': '4'}
  154. + FDATA7 : {'bar': 'SPIBAR', 'offset': '0x2C', 'desc': 'Flash Data 7', 'type': 'mmio', 'size': '4'}
  155. + FDATA8 : {'bar': 'SPIBAR', 'offset': '0x30', 'desc': 'Flash Data 8', 'type': 'mmio', 'size': '4'}
  156. + FDATA9 : {'bar': 'SPIBAR', 'offset': '0x34', 'desc': 'Flash Data 9', 'type': 'mmio', 'size': '4'}
  157. + FDATA10 : {'bar': 'SPIBAR', 'offset': '0x38', 'desc': 'Flash Data 10', 'type': 'mmio', 'size': '4'}
  158. + FDATA11 : {'bar': 'SPIBAR', 'offset': '0x3C', 'desc': 'Flash Data 11', 'type': 'mmio', 'size': '4'}
  159. + FDATA12 : {'bar': 'SPIBAR', 'offset': '0x40', 'desc': 'Flash Data 12', 'type': 'mmio', 'size': '4'}
  160. + FDATA13 : {'bar': 'SPIBAR', 'offset': '0x44', 'desc': 'Flash Data 13', 'type': 'mmio', 'size': '4'}
  161. + FDATA14 : {'bar': 'SPIBAR', 'offset': '0x48', 'desc': 'Flash Data 14', 'type': 'mmio', 'size': '4'}
  162. + FDATA15 : {'bar': 'SPIBAR', 'offset': '0x4C', 'desc': 'Flash Data 15', 'type': 'mmio', 'size': '4'}
  163. + FRAP : {'bar': 'SPIBAR', 'offset': '0x50', 'FIELDS': {'BMRAG': {'bit': '16', 'size': '8', 'desc': 'BIOS Master Read Access Grant'}, 'BMWAG': {'bit': '24', 'size': '8', 'desc': 'BIOS Master Write Access Grant'}, 'BRWA': {'bit': '8', 'size': '8', 'desc': 'BIOS Region Write Access'}, 'BRRA': {'bit': '0', 'size': '8', 'desc': 'BIOS Region Read Access'}}, 'desc': 'SPI Flash Regions Access Permissions Register', 'type': 'mmio', 'size': '4'}
  164. + FREG0_FLASHD : {'bar': 'SPIBAR', 'offset': '0x54', 'FIELDS': {'RL': {'bit': '16', 'size': '12', 'desc': 'Region Limit'}, 'RB': {'bit': '0', 'size': '12', 'desc': 'Region Base'}}, 'desc': 'Flash Region 0 (Flash Descriptor)', 'type': 'mmio', 'size': '4'}
  165. + FREG1_BIOS : {'bar': 'SPIBAR', 'offset': '0x58', 'FIELDS': {'RL': {'bit': '16', 'size': '12', 'desc': 'Region Limit'}, 'RB': {'bit': '0', 'size': '12', 'desc': 'Region Base'}}, 'desc': 'Flash Region 1 (BIOS)', 'type': 'mmio', 'size': '4'}
  166. + FREG2_ME : {'bar': 'SPIBAR', 'offset': '0x5C', 'FIELDS': {'RL': {'bit': '16', 'size': '12', 'desc': 'Region Limit'}, 'RB': {'bit': '0', 'size': '12', 'desc': 'Region Base'}}, 'desc': 'Flash Region 2 (ME)', 'type': 'mmio', 'size': '4'}
  167. + FREG3_GBE : {'bar': 'SPIBAR', 'offset': '0x60', 'FIELDS': {'RL': {'bit': '16', 'size': '12', 'desc': 'Region Limit'}, 'RB': {'bit': '0', 'size': '12', 'desc': 'Region Base'}}, 'desc': 'Flash Region 3 (GBe)', 'type': 'mmio', 'size': '4'}
  168. + FREG4_PD : {'bar': 'SPIBAR', 'offset': '0x64', 'FIELDS': {'RL': {'bit': '16', 'size': '12', 'desc': 'Region Limit'}, 'RB': {'bit': '0', 'size': '12', 'desc': 'Region Base'}}, 'desc': 'Flash Region 4 (Platform Data)', 'type': 'mmio', 'size': '4'}
  169. + FREG5 : {'bar': 'SPIBAR', 'offset': '0x68', 'FIELDS': {'RL': {'bit': '16', 'size': '12', 'desc': 'Region Limit'}, 'RB': {'bit': '0', 'size': '12', 'desc': 'Region Base'}}, 'desc': 'Flash Region 5', 'type': 'mmio', 'size': '4'}
  170. + FREG6 : {'bar': 'SPIBAR', 'offset': '0x6C', 'FIELDS': {'RL': {'bit': '16', 'size': '12', 'desc': 'Region Limit'}, 'RB': {'bit': '0', 'size': '12', 'desc': 'Region Base'}}, 'desc': 'Flash Region 6', 'type': 'mmio', 'size': '4'}
  171. + PR0 : {'bar': 'SPIBAR', 'offset': '0x74', 'FIELDS': {'PRL': {'bit': '16', 'size': '13', 'desc': 'Protected Range Limit'}, 'RPE': {'bit': '15', 'size': '1', 'desc': 'Read Protection Enabled'}, 'WPE': {'bit': '31', 'size': '1', 'desc': 'Write Protection Enabled'}, 'PRB': {'bit': '0', 'size': '13', 'desc': 'Protected Range Base'}}, 'desc': 'Protected Range 0', 'type': 'mmio', 'size': '4'}
  172. + PR1 : {'bar': 'SPIBAR', 'offset': '0x78', 'FIELDS': {'PRL': {'bit': '16', 'size': '13', 'desc': 'Protected Range Limit'}, 'RPE': {'bit': '15', 'size': '1', 'desc': 'Read Protection Enabled'}, 'WPE': {'bit': '31', 'size': '1', 'desc': 'Write Protection Enabled'}, 'PRB': {'bit': '0', 'size': '13', 'desc': 'Protected Range Base'}}, 'desc': 'Protected Range 1', 'type': 'mmio', 'size': '4'}
  173. + PR2 : {'bar': 'SPIBAR', 'offset': '0x7C', 'FIELDS': {'PRL': {'bit': '16', 'size': '13', 'desc': 'Protected Range Limit'}, 'RPE': {'bit': '15', 'size': '1', 'desc': 'Read Protection Enabled'}, 'WPE': {'bit': '31', 'size': '1', 'desc': 'Write Protection Enabled'}, 'PRB': {'bit': '0', 'size': '13', 'desc': 'Protected Range Base'}}, 'desc': 'Protected Range 2', 'type': 'mmio', 'size': '4'}
  174. + PR3 : {'bar': 'SPIBAR', 'offset': '0x80', 'FIELDS': {'PRL': {'bit': '16', 'size': '13', 'desc': 'Protected Range Limit'}, 'RPE': {'bit': '15', 'size': '1', 'desc': 'Read Protection Enabled'}, 'WPE': {'bit': '31', 'size': '1', 'desc': 'Write Protection Enabled'}, 'PRB': {'bit': '0', 'size': '13', 'desc': 'Protected Range Base'}}, 'desc': 'Protected Range 3', 'type': 'mmio', 'size': '4'}
  175. + PR4 : {'bar': 'SPIBAR', 'offset': '0x84', 'FIELDS': {'PRL': {'bit': '16', 'size': '13', 'desc': 'Protected Range Limit'}, 'RPE': {'bit': '15', 'size': '1', 'desc': 'Read Protection Enabled'}, 'WPE': {'bit': '31', 'size': '1', 'desc': 'Write Protection Enabled'}, 'PRB': {'bit': '0', 'size': '13', 'desc': 'Protected Range Base'}}, 'desc': 'Protected Range 4', 'type': 'mmio', 'size': '4'}
  176. + PREOP : {'bar': 'SPIBAR', 'offset': '0x94', 'FIELDS': {'PREOP0': {'bit': '0', 'size': '8', 'desc': 'Prefix Opcode 0'}, 'PREOP1': {'bit': '8', 'size': '8', 'desc': 'Prefix Opcode 1'}}, 'desc': 'Prefix Opcode Configuration Register', 'type': 'mmio', 'size': '2'}
  177. + OPTYPE : {'bar': 'SPIBAR', 'offset': '0x96', 'FIELDS': {'OPTYPE0': {'bit': '0', 'size': '2', 'desc': 'Opcode Type 0'}, 'OPTYPE1': {'bit': '2', 'size': '2', 'desc': 'Opcode Type 1'}, 'OPTYPE2': {'bit': '4', 'size': '2', 'desc': 'Opcode Type 2'}, 'OPTYPE3': {'bit': '6', 'size': '2', 'desc': 'Opcode Type 3'}, 'OPTYPE4': {'bit': '8', 'size': '2', 'desc': 'Opcode Type 4'}, 'OPTYPE5': {'bit': '10', 'size': '2', 'desc': 'Opcode Type 5'}, 'OPTYPE6': {'bit': '12', 'size': '2', 'desc': 'Opcode Type 6'}, 'OPTYPE7': {'bit': '14', 'size': '2', 'desc': 'Opcode Type 7'}}, 'desc': 'Opcode Type Configuration Register', 'type': 'mmio', 'size': '2'}
  178. + OPMENU : {'bar': 'SPIBAR', 'offset': '0x98', 'FIELDS': {'OPCODE1': {'bit': '8', 'size': '8', 'desc': 'Allowable Opcode 1'}, 'OPCODE0': {'bit': '0', 'size': '8', 'desc': 'Allowable Opcode 0'}, 'OPCODE3': {'bit': '24', 'size': '8', 'desc': 'Allowable Opcode 3'}, 'OPCODE2': {'bit': '16', 'size': '8', 'desc': 'Allowable Opcode 2'}, 'OPCODE5': {'bit': '40', 'size': '8', 'desc': 'Allowable Opcode 5'}, 'OPCODE4': {'bit': '32', 'size': '8', 'desc': 'Allowable Opcode 4'}, 'OPCODE7': {'bit': '56', 'size': '8', 'desc': 'Allowable Opcode 7'}, 'OPCODE6': {'bit': '48', 'size': '8', 'desc': 'Allowable Opcode 6'}}, 'desc': 'Opcode Menu Configuration Register', 'type': 'mmio', 'size': '8'}
  179. + OPMENU_LO : {'bar': 'SPIBAR', 'offset': '0x98', 'FIELDS': {'OPCODE1': {'bit': '8', 'size': '8', 'desc': 'Allowable Opcode 1'}, 'OPCODE0': {'bit': '0', 'size': '8', 'desc': 'Allowable Opcode 0'}, 'OPCODE3': {'bit': '24', 'size': '8', 'desc': 'Allowable Opcode 3'}, 'OPCODE2': {'bit': '16', 'size': '8', 'desc': 'Allowable Opcode 2'}}, 'desc': 'Opcode Menu Configuration Register Low', 'type': 'mmio', 'size': '4'}
  180. + OPMENU_HI : {'bar': 'SPIBAR', 'offset': '0x9C', 'FIELDS': {'OPCODE5': {'bit': '8', 'size': '8', 'desc': 'Allowable Opcode 5'}, 'OPCODE4': {'bit': '0', 'size': '8', 'desc': 'Allowable Opcode 4'}, 'OPCODE7': {'bit': '24', 'size': '8', 'desc': 'Allowable Opcode 7'}, 'OPCODE6': {'bit': '16', 'size': '8', 'desc': 'Allowable Opcode 6'}}, 'desc': 'Opcode Menu Configuration Register High', 'type': 'mmio', 'size': '4'}
  181. + FDOC : {'bar': 'SPIBAR', 'offset': '0xB0', 'FIELDS': {'FDSS': {'bit': '12', 'size': '3', 'desc': 'Flash Descriptor Section Select'}, 'FDSI': {'bit': '2', 'size': '10', 'desc': 'Flash Descriptor Section Index'}}, 'desc': 'Flash Descriptor Observability Control Register', 'type': 'mmio', 'size': '4'}
  182. + FDOD : {'bar': 'SPIBAR', 'offset': '0xB4', 'FIELDS': {'FDSD': {'bit': '0', 'size': '32', 'desc': 'Flash Descriptor Section Data'}}, 'desc': 'Flash Descriptor Observability Data Register', 'type': 'mmio', 'size': '4'}
  183. + LVSCC : {'bar': 'SPIBAR', 'offset': '0xC4', 'FIELDS': {'LWSR': {'bit': '3', 'size': '1', 'desc': 'Lower Write Status Required'}, 'VCL': {'bit': '23', 'size': '1', 'desc': 'Vendor Component Lock'}, 'LEO': {'bit': '8', 'size': '8', 'desc': 'Lower Erase Opcode'}, 'LBES': {'bit': '0', 'size': '2', 'desc': 'Lower Block/Sector Erase Size'}, 'LWG': {'bit': '2', 'size': '1', 'desc': 'Lower Write Granularity'}, 'LWEWS': {'bit': '4', 'size': '1', 'desc': 'Write Enable on Write Status'}}, 'desc': 'Host Lower Vendor Specific Component Capabilities', 'type': 'mmio', 'size': '4'}
  184. + UVSCC : {'bar': 'SPIBAR', 'offset': '0xC8', 'FIELDS': {'UBES': {'bit': '0', 'size': '2', 'desc': 'Upper Block/Sector Erase Size'}, 'UEO': {'bit': '8', 'size': '8', 'desc': 'Upper Erase Opcode'}, 'UWG': {'bit': '2', 'size': '1', 'desc': 'Upper Write Granularity'}, 'UWEWS': {'bit': '4', 'size': '1', 'desc': 'Write Enable on Write Status'}, 'UWSR': {'bit': '3', 'size': '1', 'desc': 'Upper Write Status Required'}}, 'desc': 'Host Upper Vendor Specific Component Capabilities', 'type': 'mmio', 'size': '4'}
  185. + FLMAP0 : {'bar': 'FDBAR', 'offset': '0x14', 'FIELDS': {'FRBA': {'bit': '16', 'size': '8', 'desc': 'Flash Region Base Address'}, 'NR': {'bit': '24', 'size': '3', 'desc': 'Number of Regions'}, 'NC': {'bit': '8', 'size': '2', 'desc': 'Number of Components'}, 'FCBA': {'bit': '0', 'size': '8', 'desc': 'Flash Component Base Address'}}, 'desc': 'Flash Map 0 Register', 'type': 'mmio', 'size': '4'}
  186. + FLMAP1 : {'bar': 'FDBAR', 'offset': '0x18', 'FIELDS': {'FMBA': {'bit': '0', 'size': '8', 'desc': 'Flash Master Base Address'}, 'FPSBA': {'bit': '16', 'size': '8', 'desc': 'Flash PCH Strap Base Address'}, 'NM': {'bit': '8', 'size': '2', 'desc': 'Number of Masters'}, 'PSL': {'bit': '24', 'size': '8', 'desc': 'PCH Strap Length'}}, 'desc': 'Flash Map 1 Register', 'type': 'mmio', 'size': '4'}
  187. + FLMAP2 : {'bar': 'FDBAR', 'offset': '0x1C', 'FIELDS': {'ICCRIBA': {'bit': '16', 'size': '8', 'desc': 'ICC Register Init Base Address'}, 'CPUSL': {'bit': '8', 'size': '8', 'desc': 'Processor Strap Length'}, 'FCPUSBA': {'bit': '0', 'size': '8', 'desc': 'Flash CPU Strap Base Address'}}, 'desc': 'Flash Map 2 Register', 'type': 'mmio', 'size': '4'}
  188. + FLREG0 : {'bar': 'FRBA', 'offset': '0x0', 'FIELDS': {'RL': {'bit': '16', 'size': '13', 'desc': 'Region Limit'}, 'RB': {'bit': '0', 'size': '13', 'desc': 'Region Base'}}, 'desc': 'Flash Region 0 (Flash Descriptor) Register', 'type': 'mmio', 'size': '4'}
  189. + FLREG1 : {'bar': 'FRBA', 'offset': '0x4', 'FIELDS': {'RL': {'bit': '16', 'size': '13', 'desc': 'Region Limit'}, 'RB': {'bit': '0', 'size': '13', 'desc': 'Region Base'}}, 'desc': 'Flash Region 1 (BIOS) Register', 'type': 'mmio', 'size': '4'}
  190. + FLREG2 : {'bar': 'FRBA', 'offset': '0x8', 'FIELDS': {'RL': {'bit': '16', 'size': '13', 'desc': 'Region Limit'}, 'RB': {'bit': '0', 'size': '13', 'desc': 'Region Base'}}, 'desc': 'Flash Region 2 (Intel ME) Register', 'type': 'mmio', 'size': '4'}
  191. + FLREG3 : {'bar': 'FRBA', 'offset': '0xC', 'FIELDS': {'RL': {'bit': '16', 'size': '13', 'desc': 'Region Limit'}, 'RB': {'bit': '0', 'size': '13', 'desc': 'Region Base'}}, 'desc': 'Flash Region 3 (GBe) Register', 'type': 'mmio', 'size': '4'}
  192. + FLREG4 : {'bar': 'FRBA', 'offset': '0x10', 'FIELDS': {'RL': {'bit': '16', 'size': '13', 'desc': 'Region Limit'}, 'RB': {'bit': '0', 'size': '13', 'desc': 'Region Base'}}, 'desc': 'Flash Region 4 (Platform Data) Register', 'type': 'mmio', 'size': '4'}
  193. + FLMSTR1 : {'bar': 'FMBA', 'offset': '0x0', 'FIELDS': {'MRRA': {'bit': '16', 'size': '8', 'desc': 'Master Region Read Access'}, 'MRWA': {'bit': '24', 'size': '8', 'desc': 'Master Region Write Access'}}, 'desc': 'Flash Master 1', 'type': 'mmio', 'size': '4'}
  194. + RC : {'bar': 'RCBA', 'offset': '0x3400', 'FIELDS': {'UE': {'bit': '2', 'size': '1', 'desc': 'Upper 128 Byte Enable'}, 'UL': {'bit': '4', 'size': '1', 'desc': 'Upper 128 Byte Lock'}, 'LL': {'bit': '3', 'size': '1', 'desc': 'Lower 128 Byte Lock'}}, 'desc': 'RTC Configuration', 'type': 'mmio', 'size': '4'}
  195. + GCS : {'bar': 'RCBA', 'offset': '0x3410', 'FIELDS': {'BILD': {'bit': '0', 'size': '1', 'desc': 'BIOS Interface Lock Down'}, 'BBS': {'bit': '10', 'size': '2', 'desc': 'Boot BIOS Straps'}}, 'desc': 'General Control and Status', 'type': 'mmio', 'size': '4'}
  196. + RC : {'bar': 'RCBA', 'offset': '0x3400', 'FIELDS': {'UE': {'bit': '2', 'size': '1', 'desc': 'Upper 128 Byte Enable'}, 'UL': {'bit': '4', 'size': '1', 'desc': 'Upper 128 Byte Lock'}, 'LL': {'bit': '3', 'size': '1', 'desc': 'Lower 128 Byte Lock'}}, 'desc': 'RTC Configuration', 'type': 'mmio', 'size': '4'}
  197. + BUC : {'bar': 'RCBA', 'offset': '0x3414', 'FIELDS': {'TS': {'bit': '0', 'size': '1', 'desc': 'Top Swap'}}, 'desc': 'Backed Up Control', 'type': 'mmio', 'size': '4'}
  198. + GFXVTBAR : {'bar': 'MCHBAR', 'offset': '0x5400', 'FIELDS': {'Base': {'bit': '12', 'size': '27', 'desc': 'GFX VTD Base Address'}, 'Enable': {'bit': '0', 'size': '1', 'desc': 'Enable'}}, 'desc': 'Processor Graphics VT-d MMIO Base Address', 'type': 'mmio', 'size': '8'}
  199. + VTBAR : {'bar': 'MCHBAR', 'offset': '0x5410', 'FIELDS': {'Base': {'bit': '12', 'size': '27', 'desc': 'VTD Base Address'}, 'Enable': {'bit': '0', 'size': '1', 'desc': 'Enable'}}, 'desc': 'VT-d MMIO Base Address', 'type': 'mmio', 'size': '8'}
  200. + RST_CNT : {'FIELDS': {'FULL_RST': {'bit': '3', 'size': '1', 'desc': 'Full Reset'}, 'SYS_RST': {'bit': '1', 'size': '1', 'desc': 'System Reset'}, 'RST_CPU': {'bit': '2', 'size': '1', 'desc': 'Reset Processor'}}, 'desc': 'Reset Control', 'type': 'io', 'port': '0xCF9', 'size': '1'}
  201. + PM1_STS : {'bar': 'ABASE', 'offset': '0x0', 'FIELDS': {'RTC_STS': {'bit': '10', 'size': '1', 'desc': 'RTC Status'}, 'PWRBTN_STS': {'bit': '8', 'size': '1', 'desc': 'Power Button Status'}, 'WAK_STS': {'bit': '15', 'size': '1', 'desc': 'Wake Status'}, 'TMROF_STS': {'bit': '0', 'size': '1', 'desc': 'Timer Overflow Status'}, 'GBL_STS': {'bit': '5', 'size': '1', 'desc': 'Global Status'}, 'PWRBTNOR_STS': {'bit': '11', 'size': '1', 'desc': 'Power Button Override Status'}, 'PCIEXPWAK_STS': {'bit': '14', 'size': '1', 'desc': 'PCI Express Wake Status'}, 'BM_STS': {'bit': '4', 'size': '1', 'desc': 'Bus Master Status'}}, 'desc': 'PM1 Status', 'type': 'iobar', 'size': '2'}
  202. + PM1_EN : {'bar': 'ABASE', 'offset': '0x2', 'FIELDS': {'PCIEXPWAK_DIS': {'bit': '14', 'size': '1', 'desc': 'PCI Express Wake Disable'}, 'PWRBTN_EN': {'bit': '8', 'size': '1', 'desc': 'Power Button Enable'}, 'GBL_EN': {'bit': '5', 'size': '1', 'desc': 'Global Enable'}, 'TMROF_EN': {'bit': '0', 'size': '1', 'desc': 'Timer Overflow Interrupt Enable'}, 'RTC_EN': {'bit': '10', 'size': '1', 'desc': 'RTC Event Enable'}}, 'desc': 'PM1 Enable', 'type': 'iobar', 'size': '2'}
  203. + PM1_CNT : {'bar': 'ABASE', 'offset': '0x4', 'FIELDS': {'SLP_EN': {'bit': '13', 'size': '1', 'desc': 'Sleep Enable'}, 'SLP_TYP': {'bit': '10', 'size': '3', 'desc': 'Sleep Type'}, 'BM_RLD': {'bit': '1', 'size': '1', 'desc': 'Bus Master Reload'}, 'GBL_RLS': {'bit': '2', 'size': '1', 'desc': 'Global Release'}, 'SCI_EN': {'bit': '0', 'size': '1', 'desc': 'SCI Enable'}}, 'desc': 'PM1 Control', 'type': 'iobar', 'size': '4'}
  204. + PM1_TMR : {'bar': 'ABASE', 'offset': '0x8', 'FIELDS': {'TMR_VAL': {'bit': '0', 'size': '24', 'desc': 'Timer Value'}}, 'desc': 'PM1 Timer', 'type': 'iobar', 'size': '4'}
  205. + SMI_EN : {'bar': 'ABASE', 'offset': '0x30', 'FIELDS': {'APMC_EN': {'bit': '5', 'desc': '', 'size': '1'}, 'ME_SMI_EN': {'bit': '30', 'desc': '', 'size': '1'}, 'SWSMI_TMR_EN': {'bit': '6', 'desc': '', 'size': '1'}, 'LEGACY_USB_EN': {'bit': '3', 'desc': '', 'size': '1'}, 'BIOS_EN': {'bit': '2', 'desc': '', 'size': '1'}, 'PERIODIC_EN': {'bit': '14', 'desc': '', 'size': '1'}, 'xHCI_SMI_EN': {'bit': '31', 'desc': '', 'size': '1'}, 'MCSMI_EN': {'bit': '11', 'desc': '', 'size': '1'}, 'INTEL_USB2_EN': {'bit': '18', 'desc': '', 'size': '1'}, 'GBL_SMI_EN': {'bit': '0', 'desc': '', 'size': '1'}, 'EOS': {'bit': '1', 'desc': '', 'size': '1'}, 'LEGACY_USB2_EN': {'bit': '17', 'desc': '', 'size': '1'}, 'TCO_EN': {'bit': '13', 'desc': '', 'size': '1'}, 'BIOS_RLS': {'bit': '7', 'desc': '', 'size': '1'}, 'GPIO_UNLOCK_SMI_EN': {'bit': '27', 'desc': '', 'size': '1'}, 'SLP_SMI_EN': {'bit': '4', 'desc': '', 'size': '1'}}, 'desc': 'SMI Control and Enable', 'type': 'iobar', 'size': '4'}
  206. + TCO1_CNT : {'bar': 'ABASE', 'offset': '0x68', 'FIELDS': {'TCO_LOCK': {'bit': '12', 'desc': '', 'size': '1'}}, 'desc': 'TCO1 Control', 'type': 'iobar', 'size': '2'}
  207. + SMBUS_HST_STS : {'bar': 'SMBUS_BASE', 'offset': '0x00', 'FIELDS': {'BUSY': {'bit': '0', 'size': '1', 'desc': 'SMBus Busy'}, 'DEV_ERR': {'bit': '2', 'size': '1', 'desc': 'Device Error'}, 'INUSE_STS': {'bit': '6', 'size': '1', 'desc': 'InUse Semaphore Status'}, 'DS': {'bit': '7', 'size': '1', 'desc': 'Done Status'}, 'FAILED': {'bit': '4', 'size': '1', 'desc': 'SMBus Error/Failed'}, 'BUS_ERR': {'bit': '3', 'size': '1', 'desc': 'Bus Error'}, 'INTR': {'bit': '1', 'size': '1', 'desc': 'Interrupt'}, 'SMBALERT_STS': {'bit': '5', 'size': '1', 'desc': 'SMBALERT# Signal Status'}}, 'desc': 'SMBus Host Status', 'type': 'iobar', 'size': '1'}
  208. + SMBUS_HST_CNT : {'bar': 'SMBUS_BASE', 'offset': '0x02', 'FIELDS': {'PEC_EN': {'bit': '7', 'size': '1', 'desc': 'Packet Error Checking Enable'}, 'START': {'bit': '6', 'size': '1', 'desc': 'Start/Trigger'}, 'KILL': {'bit': '1', 'size': '1', 'desc': 'Kill'}, 'SMB_CMD': {'bit': '2', 'size': '3', 'desc': 'Command'}, 'LAST_BYTE': {'bit': '5', 'size': '1', 'desc': 'Last Byte (for Block Read commands)'}, 'INTREN': {'bit': '0', 'size': '1', 'desc': 'Interrupt Enable'}}, 'desc': 'SMBus Host Control', 'type': 'iobar', 'size': '1'}
  209. + SMBUS_HST_CMD : {'bar': 'SMBUS_BASE', 'offset': '0x03', 'FIELDS': {'DataOffset': {'bit': '0', 'size': '8', 'desc': 'Command Data'}}, 'desc': 'SMBus Host Command', 'type': 'iobar', 'size': '1'}
  210. + SMBUS_HST_SLVA : {'bar': 'SMBUS_BASE', 'offset': '0x04', 'FIELDS': {'RW': {'bit': '0', 'size': '1', 'desc': 'Read/Write Command'}, 'Address': {'bit': '1', 'size': '7', 'desc': 'Slave Address'}}, 'desc': 'SMBus Host Slave Address', 'type': 'iobar', 'size': '1'}
  211. + SMBUS_HST_D0 : {'bar': 'SMBUS_BASE', 'offset': '0x05', 'FIELDS': {'Data': {'bit': '0', 'size': '8', 'desc': 'Data0/Count'}}, 'desc': 'SMBus Host Data 0', 'type': 'iobar', 'size': '1'}
  212. + SMBUS_HST_D1 : {'bar': 'SMBUS_BASE', 'offset': '0x06', 'FIELDS': {'Data': {'bit': '0', 'size': '8', 'desc': 'Data1'}}, 'desc': 'SMBus Host Data 1', 'type': 'iobar', 'size': '1'}
  213. + IA32_BIOS_SIGN_ID: {'FIELDS': {'Microcode': {'bit': '32', 'size': '32', 'desc': 'Microcode update signature'}}, 'msr': '0x8B', 'desc': 'Microcode Update Signature Register', 'type': 'msr', 'size': '0x4'}
  214. + IA32_SMRR_PHYSBASE: {'FIELDS': {'Type': {'bit': '0', 'size': '8', 'desc': 'SMRR memory type'}, 'PhysBase': {'bit': '12', 'size': '20', 'desc': 'SMRR physical base address'}}, 'msr': '0x1F2', 'desc': 'SMRR Base Address MSR', 'type': 'msr', 'size': '0x4'}
  215. + IA32_SMRR_PHYSMASK: {'FIELDS': {'PhysMask': {'bit': '12', 'size': '20', 'desc': 'SMRR address range mask'}, 'Valid': {'bit': '11', 'size': '1', 'desc': 'SMRR valid'}}, 'msr': '0x1F3', 'desc': 'SMRR Range Mask MSR', 'type': 'msr', 'size': '0x4'}
  216. + MTRRCAP : {'FIELDS': {'SMRR': {'bit': '11', 'size': '1', 'desc': 'SMRR Supported'}}, 'msr': '0xFE', 'desc': 'MTRR Capabilities MSR', 'type': 'msr', 'size': '0x4'}
  217. + IA32_FEATURE_CONTROL: {'FIELDS': {'SENTER_LOCAL_EN': {'bit': '8', 'size': '7', 'desc': 'SENTER Local Functions Enable'}, 'SENTER_GLOBAL_EN': {'bit': '15', 'size': '1', 'desc': 'SENTER Global Enable'}, 'LOCK': {'bit': '0', 'size': '1', 'desc': 'Lock'}, 'LMCE_ON': {'bit': '20', 'size': '1', 'desc': 'Turn Local Machine Check On'}, 'EN_VMX_INSIDE_SMX': {'bit': '1', 'size': '1', 'desc': 'Enable VMX inside SMX operation'}, 'EN_VMX_OUTSIDE_SMX': {'bit': '2', 'size': '1', 'desc': 'Enable VMX outside SMX operation'}, 'Reserved1': {'bit': '16', 'size': '4', 'desc': 'Reserved'}, 'Reserved0': {'bit': '3', 'size': '5', 'desc': 'Reserved'}}, 'msr': '0x3A', 'desc': 'Processor Feature Control', 'type': 'msr', 'size': '0x4'}
  218. + IA32_APIC_BASE : {'FIELDS': {'x2APICEn': {'bit': '10', 'size': '1', 'desc': 'Enable x2APIC mode'}, 'En': {'bit': '11', 'size': '1', 'desc': 'APIC Global Enable'}, 'BSP': {'bit': '8', 'size': '1', 'desc': 'Bootstrap Processor'}, 'APICBase': {'bit': '12', 'size': '20', 'desc': 'APIC Base'}}, 'msr': '0x1B', 'desc': 'Local APIC Base', 'type': 'msr', 'size': '0x4'}
  219. + MSR_SMI_COUNT : {'FIELDS': {'Count': {'bit': '0', 'desc': '', 'size': '64'}}, 'msr': '0x34', 'desc': 'SMI Count', 'type': 'msr', 'size': '0x4'}
  220. + IA32_ARCH_CAPABILITIES: {'FIELDS': {'IBRS_ALL': {'bit': '1', 'size': '1', 'desc': 'Processor supports enhanced Indirect Branch Restricted Speculation (IBRS)'}, 'RDCL_NO': {'bit': '0', 'size': '1', 'desc': 'Processor is not susceptible to RDCL (Rogue Data Cache Load)'}}, 'msr': '0x10A', 'desc': 'Architectural Capabilities MSR', 'type': 'msr', 'size': '0x4'}
  221. + IA32_SPEC_CTRL : {'FIELDS': {'IBRS': {'bit': '0', 'size': '1', 'desc': 'Enable Indirect Branch Restricted Speculation (IBRS)'}, 'STIBP': {'bit': '1', 'size': '1', 'desc': 'Enable Single Thread Indirect Branch Predictors (STIBP)'}}, 'msr': '0x48', 'desc': 'Speculation Control MSR', 'type': 'msr', 'size': '0x4'}
  222. + IA32_PRED_CMD : {'FIELDS': {'IBPB': {'bit': '0', 'size': '1', 'desc': 'IBPB Command'}}, 'msr': '0x49', 'desc': 'Prediction Command MSR', 'type': 'msr', 'size': '0x4'}
  223. + MSR_LT_LOCK_MEMORY: {'FIELDS': {'LT_LOCK': {'bit': '0', 'size': '1', 'desc': 'Lock bit'}}, 'msr': '0x2E7', 'desc': 'LT lock memory configuration MSR', 'type': 'msr', 'size': '0x4'}
  224. + IA32_DEBUG_INTERFACE: {'FIELDS': {'DEBUG_OCCURRED': {'bit': '31', 'size': '1', 'desc': 'Debug Occurred (set by hardware)'}, 'LOCK': {'bit': '30', 'size': '1', 'desc': 'Lock (set automatically on the first SMI)'}, 'ENABLE': {'bit': '0', 'size': '1', 'desc': 'Enable'}}, 'msr': '0xC80', 'desc': 'Silicon Debug Feature Control', 'type': 'msr', 'size': '0x4'}
  225. + BIOS_SE_SVN : {'FIELDS': {'SCLEAN_SE_SVN': {'bit': '24', 'size': '8', 'desc': 'SCLEAN_SE_SVN'}, 'ANC_SE_SVN': {'bit': '32', 'size': '8', 'desc': 'ANC_SE_SVN'}, 'PFAT_SE_SVN': {'bit': '40', 'size': '8', 'desc': 'PFAT_SE_SVN'}, 'SINIT_SE_SVN': {'bit': '16', 'size': '8', 'desc': 'SINIT_SE_SVN'}}, 'msr': '0x302', 'desc': 'BIOS SGX SVN values', 'type': 'msr', 'size': '0x4'}
  226. + BIOS_SE_SVN_STATUS: {'FIELDS': {'LOCK': {'bit': '0', 'size': '1', 'desc': 'ACM threshold locked'}, 'SINIT_SE_SVN': {'bit': '16', 'size': '8', 'desc': 'SINIT_SE_SVN'}}, 'msr': '0x500', 'desc': 'BIOS SGX SVN status register', 'type': 'msr', 'size': '0x4'}
  227. + PRMRR_VALID_CONFIG: {'FIELDS': {'PRMRR_SIZE_SUPPORT': {'bit': '5', 'size': '4', 'desc': 'PRMRR size support'}}, 'msr': '0x1FB', 'desc': 'PRMRR VALID register', 'type': 'msr', 'size': '0x4'}
  228. + PRMRR_PHYBASE : {'FIELDS': {'PRMRR_MEMTYPE': {'bit': '0', 'size': '3', 'desc': 'PRMRR Memory Type'}, 'PRMRR_base_address_fields': {'bit': '12', 'size': '34', 'desc': 'PRMRR base address bits'}}, 'msr': '0x1F4', 'desc': 'PRMRR BASE Address', 'type': 'msr', 'size': '0x4'}
  229. + PRMRR_MASK : {'FIELDS': {'PRMRR_LOCK': {'bit': '10', 'size': '1', 'desc': 'PRMRR Lock Bit'}, 'PRMRR_mask_bits': {'bit': '12', 'size': '34', 'desc': 'PRMRR mask bits'}, 'PRMRR_VLD': {'bit': '11', 'size': '1', 'desc': 'PRMRR Valid Bit set by mcheck'}}, 'msr': '0x1F5', 'desc': 'PRMRR MASK register', 'type': 'msr', 'size': '0x4'}
  230. + PRMRR_UNCORE_PHYBASE: {'FIELDS': {'PRMRR_base_address_fields': {'bit': '12', 'size': '27', 'desc': 'PRMRR uncore base address bits'}}, 'msr': '0x2F4', 'desc': 'PRMRR uncore BASE Address', 'type': 'msr', 'size': '0x4'}
  231. + PRMRR_UNCORE_MASK: {'FIELDS': {'PRMRR_LOCK': {'bit': '10', 'size': '1', 'desc': 'PRMRR uncore Lock Bit'}, 'PRMRR_mask_bits': {'bit': '12', 'size': '27', 'desc': 'PRMRR uncore mask bits'}, 'PRMRR_VLD': {'bit': '11', 'size': '1', 'desc': 'PRMRR uncore Valid Bit set by mcheck'}}, 'msr': '0x2F5', 'desc': 'PRMRR uncore MASK register', 'type': 'msr', 'size': '0x4'}
  232. + SGX_DEBUG_MODE : {'FIELDS': {'SGX_DEBUG_MODE_STATUS_BIT': {'bit': '1', 'size': '1', 'desc': 'Debug mode status but'}}, 'msr': '0x503', 'desc': 'SGX debug mode resiter', 'type': 'msr', 'size': '0x4'}
  233. [*] loading controls..
  234. + SmmBiosWriteProtection: {'field': 'SMM_BWP', 'register': 'BC', 'desc': 'SMM BIOS Write Protection'}
  235. + BiosLockEnable : {'field': 'BLE', 'register': 'BC', 'desc': 'BIOS Lock Enable'}
  236. + BiosWriteEnable : {'field': 'BIOSWE', 'register': 'BC', 'desc': 'BIOS Write Enable'}
  237. + TopSwapStatus : {'field': 'TSS', 'register': 'BC', 'desc': 'Top Swap Status'}
  238. + TopSwap : {'field': 'TS', 'register': 'BUC', 'desc': 'Top Swap'}
  239. + FlashLockDown : {'field': 'FLOCKDN', 'register': 'HSFS', 'desc': 'Flash Configuration Lock-Down'}
  240. + BiosInterfaceLockDown: {'field': 'BILD', 'register': 'GCS', 'desc': 'BIOS Interface Lock-Down'}
  241. + GlobalSMIEnable : {'field': 'GBL_SMI_EN', 'register': 'SMI_EN', 'desc': 'Global SMI Enable'}
  242. + GPIOSMIEnable : {'field': 'GPIO_UNLOCK_SMI_EN', 'register': 'SMI_EN', 'desc': 'GPIO Config SMI Enable'}
  243. + SMILock : {'field': 'SMI_LOCK', 'register': 'GEN_PMCON_1', 'desc': 'SMI Global Configuration Lock'}
  244. + TCOSMIEnable : {'field': 'TCO_EN', 'register': 'SMI_EN', 'desc': 'TCO SMI Enable'}
  245. + TCOSMILock : {'field': 'TCO_LOCK', 'register': 'TCO1_CNT', 'desc': 'TCO SMI Lock'}
  246. + SMRAMDLock : {'field': 'D_LCK', 'register': 'PCI0.0.0_SMRAMC', 'desc': 'SMRAM D_LCK'}
  247. + TSEGBaseLock : {'field': 'LOCK', 'register': 'PCI0.0.0_TSEGMB', 'desc': 'TSEG Base Lock'}
  248. + TSEGLimitLock : {'field': 'LOCK', 'register': 'PCI0.0.0_BGSM', 'desc': 'TSEG Limit Lock'}
  249. + Ia32FeatureControlLock: {'field': 'LOCK', 'register': 'IA32_FEATURE_CONTROL', 'desc': 'Lock IA32 Feature Control'}
  250. [*] looking for platform config in '/home/liveuser/chipsec/chipsec/cfg/bdw.xml'..
  251. [*] loading 'bdw' platform config from '/home/liveuser/chipsec/chipsec/cfg/bdw.xml'..
  252. [*] loading integrated devices/controllers..
  253. [*] loading MMIO BARs..
  254. [*] loading I/O BARs..
  255. [*] loading memory ranges..
  256. [*] loading configuration registers..
  257. [*] loading controls..
  258. [*] looking for platform config in '/home/liveuser/chipsec/chipsec/cfg/iommu.xml'..
  259. [*] loading common platform config from '/home/liveuser/chipsec/chipsec/cfg/iommu.xml'..
  260. [*] loading integrated devices/controllers..
  261. [*] loading MMIO BARs..
  262. [*] loading I/O BARs..
  263. [*] loading memory ranges..
  264. [*] loading configuration registers..
  265. + VTBAR_VER : {'bar': 'VTBAR', 'offset': '0x00', 'FIELDS': {'MAX': {'bit': '4', 'size': '4', 'desc': 'Major Version Number'}, 'MIN': {'bit': '0', 'size': '4', 'desc': 'Minor Version Number'}}, 'desc': 'Version', 'type': 'mmio', 'size': '4'}
  266. + VTBAR_CAP : {'bar': 'VTBAR', 'offset': '0x08', 'FIELDS': {'R4': {'bit': '60', 'size': '4', 'desc': 'Reserved'}, 'FL1GP': {'bit': '56', 'size': '1', 'desc': 'First Level 1-GB Page Support'}, 'ZLR': {'bit': '22', 'size': '1', 'desc': 'Zero Length Read'}, 'DRD': {'bit': '55', 'size': '1', 'desc': 'Read Draining'}, 'RWBF': {'bit': '4', 'size': '1', 'desc': 'Required Write-Buffer Flushing'}, 'R2': {'bit': '38', 'size': '1', 'desc': 'Reserved'}, 'ND': {'bit': '0', 'size': '2', 'desc': 'Number of Domains Supported'}, 'SLLPS': {'bit': '34', 'size': '4', 'desc': 'Second Level Large Page Support'}, 'MGAW': {'bit': '16', 'size': '6', 'desc': 'Maximum Guest Address Width'}, 'PHMR': {'bit': '6', 'size': '1', 'desc': 'Protected High-Memory Region'}, 'PI': {'bit': '59', 'size': '1', 'desc': 'Posted Interrupts Support'}, 'AFL': {'bit': '3', 'size': '1', 'desc': 'Advanced Fault Logging'}, 'R0': {'bit': '13', 'size': '3', 'desc': 'Reserved'}, 'R1': {'bit': '23', 'size': '1', 'desc': 'Reserved'}, 'CM': {'bit': '7', 'size': '1', 'desc': 'Caching Mode'}, 'R3': {'bit': '57', 'size': '2', 'desc': 'Reserved'}, 'FRO': {'bit': '24', 'size': '10', 'desc': 'Fault-Recording Registrer Offset'}, 'NFR': {'bit': '40', 'size': '8', 'desc': 'Number of Fault-Recording Registers'}, 'DWD': {'bit': '54', 'size': '1', 'desc': 'Write Draining'}, 'PSI': {'bit': '39', 'size': '1', 'desc': 'Page Selective Invalidation'}, 'MAMV': {'bit': '48', 'size': '6', 'desc': 'Maximum Address Mask Value'}, 'SAGAW': {'bit': '8', 'size': '5', 'desc': 'Supported Adjusted Guest Address Width'}, 'PLMR': {'bit': '5', 'size': '1', 'desc': 'Protected Low-Memory Region'}}, 'desc': 'Capability', 'type': 'mmio', 'size': '4'}
  267. + VTBAR_ECAP : {'bar': 'VTBAR', 'offset': '0x10', 'FIELDS': {'NWFS': {'bit': '33', 'size': '1', 'desc': 'No Write Flag Support'}, 'ERS': {'bit': '30', 'size': '1', 'desc': 'Execute Request Support'}, 'NEST': {'bit': '26', 'size': '1', 'desc': 'Nested Translation Support'}, 'MHMV': {'bit': '20', 'size': '4', 'desc': 'Maximum Handle Mask Value'}, 'DT': {'bit': '2', 'size': '1', 'desc': 'Device-TLB Support'}, 'EIM': {'bit': '4', 'size': '1', 'desc': 'Extended Interrupt Mode'}, 'DIS': {'bit': '27', 'size': '1', 'desc': 'Deferred Invalidate Support'}, 'PT': {'bit': '6', 'size': '1', 'desc': 'Pass Through'}, 'ECS': {'bit': '24', 'size': '1', 'desc': 'Extended Context Support'}, 'QI': {'bit': '1', 'size': '1', 'desc': 'Queued Invalidation Support'}, 'PRS': {'bit': '29', 'size': '1', 'desc': 'Page Request Support'}, 'C': {'bit': '0', 'size': '1', 'desc': 'Page-walk Coherency'}, 'R0': {'bit': '5', 'size': '1', 'desc': 'Reserved'}, 'R1': {'bit': '18', 'size': '2', 'desc': 'Reserved'}, 'R2': {'bit': '32', 'size': '1', 'desc': 'Reserved'}, 'R3': {'bit': '40', 'size': '24', 'desc': 'Reserved'}, 'MTS': {'bit': '25', 'size': '1', 'desc': 'Memory Type Support'}, 'IR': {'bit': '3', 'size': '1', 'desc': 'Interrupt Remapping Support'}, 'SRS': {'bit': '31', 'size': '1', 'desc': 'Supervisor Request Support'}, 'EAFS': {'bit': '34', 'size': '1', 'desc': 'Extended Accessed Flag Support'}, 'IRO': {'bit': '8', 'size': '10', 'desc': 'IOTLB Register Offset'}, 'PASID': {'bit': '28', 'size': '1', 'desc': 'Process Address Space ID Support'}, 'SC': {'bit': '7', 'size': '1', 'desc': 'Snoop Control'}, 'PSS': {'bit': '35', 'size': '5', 'desc': 'PASID Size Supported'}}, 'desc': 'Global Command', 'type': 'mmio', 'size': '8'}
  268. + VTBAR_GCMD : {'bar': 'VTBAR', 'offset': '0x18', 'FIELDS': {'SIRTP': {'bit': '24', 'size': '1', 'desc': 'Set Interrupt Remap Table Pointer'}, 'SRTP': {'bit': '30', 'size': '1', 'desc': 'Set Root Table Pointer'}, 'CFI': {'bit': '23', 'size': '1', 'desc': 'Compatibility Format Interrupt'}, 'QIE': {'bit': '26', 'size': '1', 'desc': 'Queued Invalidation Enable'}, 'Rsvd': {'bit': '0', 'size': '23', 'desc': 'Reserved'}, 'EAFL': {'bit': '28', 'size': '1', 'desc': 'Enable Advanced Fault Logging'}, 'WBF': {'bit': '27', 'size': '1', 'desc': 'Write Buffer Flush'}, 'SFL': {'bit': '29', 'size': '1', 'desc': 'Set Fault Log'}, 'TE': {'bit': '31', 'size': '1', 'desc': 'Translation Enable'}, 'IRE': {'bit': '25', 'size': '1', 'desc': 'Interrupt Remapping Enable'}}, 'desc': 'Global Command', 'type': 'mmio', 'size': '4'}
  269. + VTBAR_GSTS : {'bar': 'VTBAR', 'offset': '0x1C', 'FIELDS': {'IRTPS': {'bit': '24', 'size': '1', 'desc': 'Interrupt Remap Table Pointer Status'}, 'TES': {'bit': '31', 'size': '1', 'desc': 'Translation Enable Status'}, 'FLS': {'bit': '29', 'size': '1', 'desc': 'Fault Log Status'}, 'Rsvd': {'bit': '0', 'size': '23', 'desc': 'Reserved'}, 'IRES': {'bit': '25', 'size': '1', 'desc': 'Interrupt Remapping Enable Status'}, 'WBFS': {'bit': '27', 'size': '1', 'desc': 'Write Buffer Flush Status'}, 'RTPS': {'bit': '30', 'size': '1', 'desc': 'Root Table Pointer Status'}, 'QIES': {'bit': '26', 'size': '1', 'desc': 'Queued Invalidation Enable Status'}, 'CFIS': {'bit': '23', 'size': '1', 'desc': 'Compatibility Format Interrupt Status'}, 'AFLS': {'bit': '28', 'size': '1', 'desc': 'Advanced Fault Logging Status'}}, 'desc': 'Global Status', 'type': 'mmio', 'size': '4'}
  270. + VTBAR_RTADDR : {'bar': 'VTBAR', 'offset': '0x20', 'FIELDS': {'RTT': {'bit': '11', 'size': '1', 'desc': 'Root Table Type'}, 'R': {'bit': '0', 'size': '11', 'desc': 'Reserved'}, 'RTA': {'bit': '12', 'size': '52', 'desc': 'Root Table Address'}}, 'desc': 'Root-Entry Table Address', 'type': 'mmio', 'size': '8'}
  271. + VTBAR_CCMD : {'bar': 'VTBAR', 'offset': '0x28', 'FIELDS': {'DID': {'bit': '0', 'size': '16', 'desc': 'Device ID'}, 'CAIG': {'bit': '59', 'size': '2', 'desc': 'Context Actual Invalidation Granularity'}, 'ICC': {'bit': '63', 'size': '1', 'desc': 'Invalidate Context-Cache'}, 'R': {'bit': '34', 'size': '25', 'desc': 'Reserved'}, 'CIRG': {'bit': '61', 'size': '2', 'desc': 'Context Invalidation Request Granularity'}, 'SID': {'bit': '16', 'size': '16', 'desc': 'Source ID'}, 'FM': {'bit': '32', 'size': '2', 'desc': 'Function Mask'}}, 'desc': 'Context Command', 'type': 'mmio', 'size': '8'}
  272. + VTBAR_IVA : {'bar': 'VTBAR', 'offset': '0x0', 'desc': 'Invalidate Address', 'type': 'mmio', 'size': '8'}
  273. + VTBAR_IOTLB : {'bar': 'VTBAR', 'offset': '0x8', 'desc': 'IOTLB Invalidate', 'type': 'mmio', 'size': '8'}
  274. + VTBAR_FSTS : {'bar': 'VTBAR', 'offset': '0x34', 'FIELDS': {'AFO': {'bit': '2', 'size': '1', 'desc': 'Advanced Fault Overflow'}, 'PPF': {'bit': '1', 'size': '1', 'desc': 'Primary Pending Fault'}, 'PRO': {'bit': '7', 'size': '1', 'desc': 'Page Request Overflow'}, 'ITE': {'bit': '6', 'size': '1', 'desc': 'Invalidation Time-out Error'}, 'ICE': {'bit': '5', 'size': '1', 'desc': 'Invalidation Completion Error'}, 'PFO': {'bit': '0', 'size': '1', 'desc': 'Primary Fault Overflow'}, 'IQE': {'bit': '4', 'size': '1', 'desc': 'Invalidation Queue Error'}, 'APF': {'bit': '3', 'size': '1', 'desc': 'Advanced Pending Fault'}, 'FRI': {'bit': '8', 'size': '7', 'desc': 'Fault Record Index'}}, 'desc': 'Fault Status', 'type': 'mmio', 'size': '4'}
  275. + VTBAR_FECTL : {'bar': 'VTBAR', 'offset': '0x38', 'FIELDS': {'IP': {'bit': '30', 'size': '1', 'desc': 'Interrupt Pending'}, 'R': {'bit': '0', 'size': '30', 'desc': 'Reserved'}, 'IM': {'bit': '31', 'size': '1', 'desc': 'Interrupt Mask'}}, 'desc': 'Fault Event Control', 'type': 'mmio', 'size': '4'}
  276. + VTBAR_FEDATA : {'bar': 'VTBAR', 'offset': '0x3C', 'FIELDS': {'IMD': {'bit': '0', 'size': '16', 'desc': 'Interrupt Message Data'}, 'EIMD': {'bit': '16', 'size': '16', 'desc': 'Extended Interrupt Message Data'}}, 'desc': 'Fault Event Data', 'type': 'mmio', 'size': '4'}
  277. + VTBAR_FEADDR : {'bar': 'VTBAR', 'offset': '0x40', 'FIELDS': {'R': {'bit': '0', 'size': '2', 'desc': 'Reserved'}, 'MA': {'bit': '2', 'size': '30', 'desc': 'Message Address'}}, 'desc': 'Fault Event Address', 'type': 'mmio', 'size': '4'}
  278. + VTBAR_FEUADDR : {'bar': 'VTBAR', 'offset': '0x44', 'FIELDS': {'MUA': {'bit': '0', 'size': '32', 'desc': 'Message Upper Address'}}, 'desc': 'Fault Event Upper Address', 'type': 'mmio', 'size': '4'}
  279. + VTBAR_FRCDL : {'bar': 'VTBAR', 'offset': '0x0', 'desc': 'Fault Recording (Low)', 'type': 'mmio', 'size': '8'}
  280. + VTBAR_FRCDH : {'bar': 'VTBAR', 'offset': '0x8', 'desc': 'Fault Recording (High)', 'type': 'mmio', 'size': '8'}
  281. + VTBAR_AFL : {'bar': 'VTBAR', 'offset': '0x58', 'FIELDS': {'FLA': {'bit': '0', 'size': '52', 'desc': 'Fault Log Address'}, 'FLS': {'bit': '9', 'size': '3', 'desc': 'Fault Log Size'}, 'R': {'bit': '0', 'size': '9', 'desc': 'Reserved'}}, 'desc': 'Advanced Fault Log', 'type': 'mmio', 'size': '8'}
  282. + VTBAR_PMEN : {'bar': 'VTBAR', 'offset': '0x64', 'FIELDS': {'EPM': {'bit': '31', 'size': '1', 'desc': 'Enable Protected Memory'}, 'PRS': {'bit': '0', 'size': '1', 'desc': 'Protected Region Status'}}, 'desc': 'Protected Memory Enable', 'type': 'mmio', 'size': '4'}
  283. + VTBAR_PLMBASE : {'bar': 'VTBAR', 'offset': '0x68', 'FIELDS': {'PLMB': {'bit': '12', 'size': '20', 'desc': 'Protected Low-Memory Base'}}, 'desc': 'Protected Memory Low Base', 'type': 'mmio', 'size': '4'}
  284. + VTBAR_PLMLIMIT : {'bar': 'VTBAR', 'offset': '0x6C', 'FIELDS': {'PLML': {'bit': '12', 'size': '20', 'desc': 'Protected Low-Memory Limit'}}, 'desc': 'Protected Memory Low Limit', 'type': 'mmio', 'size': '4'}
  285. + VTBAR_PHMBASE : {'bar': 'VTBAR', 'offset': '0x70', 'FIELDS': {'PHMB': {'bit': '12', 'size': '52', 'desc': 'Protected High-Memory Base'}}, 'desc': 'Protected Memory High Base', 'type': 'mmio', 'size': '8'}
  286. + VTBAR_PHMLIMIT : {'bar': 'VTBAR', 'offset': '0x78', 'FIELDS': {'PHML': {'bit': '12', 'size': '52', 'desc': 'Protected High-Memory Limit'}}, 'desc': 'Protected Memory High Limit', 'type': 'mmio', 'size': '8'}
  287. + VTBAR_IQH : {'bar': 'VTBAR', 'offset': '0x80', 'FIELDS': {'QH': {'bit': '4', 'size': '15', 'desc': 'Queue Head'}}, 'desc': 'Invalidation Queue Head', 'type': 'mmio', 'size': '8'}
  288. + VTBAR_IQT : {'bar': 'VTBAR', 'offset': '0x88', 'FIELDS': {'QT': {'bit': '4', 'size': '15', 'desc': 'Queue Tail'}}, 'desc': 'Invalidation Queue Tail', 'type': 'mmio', 'size': '8'}
  289. + VTBAR_IQA : {'bar': 'VTBAR', 'offset': '0x90', 'FIELDS': {'QS': {'bit': '0', 'size': '3', 'desc': 'Queue Size'}, 'IQA': {'bit': '12', 'size': '52', 'desc': 'Invalidation Queue Base Address'}}, 'desc': 'Invalidation Queue Address', 'type': 'mmio', 'size': '8'}
  290. + VTBAR_ICS : {'bar': 'VTBAR', 'offset': '0x9C', 'FIELDS': {'IWC': {'bit': '0', 'size': '1', 'desc': 'Invalidation Wait Descriptor Complete'}}, 'desc': 'Invalidation Completion Status', 'type': 'mmio', 'size': '4'}
  291. + VTBAR_IECTL : {'bar': 'VTBAR', 'offset': '0xA0', 'desc': 'Invalidation Event Control', 'type': 'mmio', 'size': '4'}
  292. + VTBAR_IEDATA : {'bar': 'VTBAR', 'offset': '0xA4', 'desc': 'Invalidation Event Data', 'type': 'mmio', 'size': '4'}
  293. + VTBAR_IEADDR : {'bar': 'VTBAR', 'offset': '0xA8', 'desc': 'Invalidation Event Address', 'type': 'mmio', 'size': '4'}
  294. + VTBAR_IEUADDR : {'bar': 'VTBAR', 'offset': '0xAC', 'desc': 'Invalidation Event Address', 'type': 'mmio', 'size': '4'}
  295. + VTBAR_IRTA : {'bar': 'VTBAR', 'offset': '0xB8', 'FIELDS': {'IRTA': {'bit': '12', 'size': '52', 'desc': 'Interrupt Remapping Table Address'}, 'S': {'bit': '0', 'size': '4', 'desc': 'Size'}, 'EIME': {'bit': '11', 'size': '1', 'desc': 'Extended Interrupt Mode Enable'}}, 'desc': 'Invalidation Event Upper Address', 'type': 'mmio', 'size': '4'}
  296. + GFXVTBAR_VER : {'bar': 'GFXVTBAR', 'offset': '0x00', 'FIELDS': {'MAX': {'bit': '4', 'size': '4', 'desc': 'Major Version Number'}, 'MIN': {'bit': '0', 'size': '4', 'desc': 'Minor Version Number'}}, 'desc': 'Version', 'type': 'mmio', 'size': '4'}
  297. + GFXVTBAR_CAP : {'bar': 'GFXVTBAR', 'offset': '0x08', 'FIELDS': {'R4': {'bit': '60', 'size': '4', 'desc': 'Reserved'}, 'FL1GP': {'bit': '56', 'size': '1', 'desc': 'First Level 1-GB Page Support'}, 'ZLR': {'bit': '22', 'size': '1', 'desc': 'Zero Length Read'}, 'DRD': {'bit': '55', 'size': '1', 'desc': 'Read Draining'}, 'RWBF': {'bit': '4', 'size': '1', 'desc': 'Required Write-Buffer Flushing'}, 'R2': {'bit': '38', 'size': '1', 'desc': 'Reserved'}, 'ND': {'bit': '0', 'size': '2', 'desc': 'Number of Domains Supported'}, 'SLLPS': {'bit': '34', 'size': '4', 'desc': 'Second Level Large Page Support'}, 'MGAW': {'bit': '16', 'size': '6', 'desc': 'Maximum Guest Address Width'}, 'PHMR': {'bit': '6', 'size': '1', 'desc': 'Protected High-Memory Region'}, 'PI': {'bit': '59', 'size': '1', 'desc': 'Posted Interrupts Support'}, 'AFL': {'bit': '3', 'size': '1', 'desc': 'Advanced Fault Logging'}, 'R0': {'bit': '13', 'size': '3', 'desc': 'Reserved'}, 'R1': {'bit': '23', 'size': '1', 'desc': 'Reserved'}, 'CM': {'bit': '7', 'size': '1', 'desc': 'Caching Mode'}, 'R3': {'bit': '57', 'size': '2', 'desc': 'Reserved'}, 'FRO': {'bit': '24', 'size': '10', 'desc': 'Fault-Recording Registrer Offset'}, 'NFR': {'bit': '40', 'size': '8', 'desc': 'Number of Fault-Recording Registers'}, 'DWD': {'bit': '54', 'size': '1', 'desc': 'Write Draining'}, 'PSI': {'bit': '39', 'size': '1', 'desc': 'Page Selective Invalidation'}, 'MAMV': {'bit': '48', 'size': '6', 'desc': 'Maximum Address Mask Value'}, 'SAGAW': {'bit': '8', 'size': '5', 'desc': 'Supported Adjusted Guest Address Width'}, 'PLMR': {'bit': '5', 'size': '1', 'desc': 'Protected Low-Memory Region'}}, 'desc': 'Capability', 'type': 'mmio', 'size': '4'}
  298. + GFXVTBAR_ECAP : {'bar': 'GFXVTBAR', 'offset': '0x10', 'FIELDS': {'NWFS': {'bit': '33', 'size': '1', 'desc': 'No Write Flag Support'}, 'ERS': {'bit': '30', 'size': '1', 'desc': 'Execute Request Support'}, 'NEST': {'bit': '26', 'size': '1', 'desc': 'Nested Translation Support'}, 'MHMV': {'bit': '20', 'size': '4', 'desc': 'Maximum Handle Mask Value'}, 'DT': {'bit': '2', 'size': '1', 'desc': 'Device-TLB Support'}, 'EIM': {'bit': '4', 'size': '1', 'desc': 'Extended Interrupt Mode'}, 'DIS': {'bit': '27', 'size': '1', 'desc': 'Deferred Invalidate Support'}, 'PT': {'bit': '6', 'size': '1', 'desc': 'Pass Through'}, 'ECS': {'bit': '24', 'size': '1', 'desc': 'Extended Context Support'}, 'QI': {'bit': '1', 'size': '1', 'desc': 'Queued Invalidation Support'}, 'PRS': {'bit': '29', 'size': '1', 'desc': 'Page Request Support'}, 'C': {'bit': '0', 'size': '1', 'desc': 'Page-walk Coherency'}, 'R0': {'bit': '5', 'size': '1', 'desc': 'Reserved'}, 'R1': {'bit': '18', 'size': '2', 'desc': 'Reserved'}, 'R2': {'bit': '32', 'size': '1', 'desc': 'Reserved'}, 'R3': {'bit': '40', 'size': '24', 'desc': 'Reserved'}, 'MTS': {'bit': '25', 'size': '1', 'desc': 'Memory Type Support'}, 'IR': {'bit': '3', 'size': '1', 'desc': 'Interrupt Remapping Support'}, 'SRS': {'bit': '31', 'size': '1', 'desc': 'Supervisor Request Support'}, 'EAFS': {'bit': '34', 'size': '1', 'desc': 'Extended Accessed Flag Support'}, 'IRO': {'bit': '8', 'size': '10', 'desc': 'IOTLB Register Offset'}, 'PASID': {'bit': '28', 'size': '1', 'desc': 'Process Address Space ID Support'}, 'SC': {'bit': '7', 'size': '1', 'desc': 'Snoop Control'}, 'PSS': {'bit': '35', 'size': '5', 'desc': 'PASID Size Supported'}}, 'desc': 'Global Command', 'type': 'mmio', 'size': '8'}
  299. + GFXVTBAR_GCMD : {'bar': 'GFXVTBAR', 'offset': '0x18', 'FIELDS': {'SIRTP': {'bit': '24', 'size': '1', 'desc': 'Set Interrupt Remap Table Pointer'}, 'SRTP': {'bit': '30', 'size': '1', 'desc': 'Set Root Table Pointer'}, 'CFI': {'bit': '23', 'size': '1', 'desc': 'Compatibility Format Interrupt'}, 'QIE': {'bit': '26', 'size': '1', 'desc': 'Queued Invalidation Enable'}, 'Rsvd': {'bit': '0', 'size': '23', 'desc': 'Reserved'}, 'EAFL': {'bit': '28', 'size': '1', 'desc': 'Enable Advanced Fault Logging'}, 'WBF': {'bit': '27', 'size': '1', 'desc': 'Write Buffer Flush'}, 'SFL': {'bit': '29', 'size': '1', 'desc': 'Set Fault Log'}, 'TE': {'bit': '31', 'size': '1', 'desc': 'Translation Enable'}, 'IRE': {'bit': '25', 'size': '1', 'desc': 'Interrupt Remapping Enable'}}, 'desc': 'Global Command', 'type': 'mmio', 'size': '4'}
  300. + GFXVTBAR_GSTS : {'bar': 'GFXVTBAR', 'offset': '0x1C', 'FIELDS': {'IRTPS': {'bit': '24', 'size': '1', 'desc': 'Interrupt Remap Table Pointer Status'}, 'TES': {'bit': '31', 'size': '1', 'desc': 'Translation Enable Status'}, 'FLS': {'bit': '29', 'size': '1', 'desc': 'Fault Log Status'}, 'Rsvd': {'bit': '0', 'size': '23', 'desc': 'Reserved'}, 'IRES': {'bit': '25', 'size': '1', 'desc': 'Interrupt Remapping Enable Status'}, 'WBFS': {'bit': '27', 'size': '1', 'desc': 'Write Buffer Flush Status'}, 'RTPS': {'bit': '30', 'size': '1', 'desc': 'Root Table Pointer Status'}, 'QIES': {'bit': '26', 'size': '1', 'desc': 'Queued Invalidation Enable Status'}, 'CFIS': {'bit': '23', 'size': '1', 'desc': 'Compatibility Format Interrupt Status'}, 'AFLS': {'bit': '28', 'size': '1', 'desc': 'Advanced Fault Logging Status'}}, 'desc': 'Global Status', 'type': 'mmio', 'size': '4'}
  301. + GFXVTBAR_RTADDR : {'bar': 'GFXVTBAR', 'offset': '0x20', 'FIELDS': {'RTT': {'bit': '11', 'size': '1', 'desc': 'Root Table Type'}, 'R': {'bit': '0', 'size': '11', 'desc': 'Reserved'}, 'RTA': {'bit': '12', 'size': '52', 'desc': 'Root Table Address'}}, 'desc': 'Root-Entry Table Address', 'type': 'mmio', 'size': '8'}
  302. + GFXVTBAR_CCMD : {'bar': 'GFXVTBAR', 'offset': '0x28', 'FIELDS': {'DID': {'bit': '0', 'size': '16', 'desc': 'Device ID'}, 'CAIG': {'bit': '59', 'size': '2', 'desc': 'Context Actual Invalidation Granularity'}, 'ICC': {'bit': '63', 'size': '1', 'desc': 'Invalidate Context-Cache'}, 'R': {'bit': '34', 'size': '25', 'desc': 'Reserved'}, 'CIRG': {'bit': '61', 'size': '2', 'desc': 'Context Invalidation Request Granularity'}, 'SID': {'bit': '16', 'size': '16', 'desc': 'Source ID'}, 'FM': {'bit': '32', 'size': '2', 'desc': 'Function Mask'}}, 'desc': 'Context Command', 'type': 'mmio', 'size': '8'}
  303. + GFXVTBAR_IVA : {'bar': 'GFXVTBAR', 'offset': '0x0', 'desc': 'Invalidate Address', 'type': 'mmio', 'size': '8'}
  304. + GFXVTBAR_IOTLB : {'bar': 'GFXVTBAR', 'offset': '0x8', 'desc': 'IOTLB Invalidate', 'type': 'mmio', 'size': '8'}
  305. + GFXVTBAR_FSTS : {'bar': 'GFXVTBAR', 'offset': '0x34', 'FIELDS': {'AFO': {'bit': '2', 'size': '1', 'desc': 'Advanced Fault Overflow'}, 'PPF': {'bit': '1', 'size': '1', 'desc': 'Primary Pending Fault'}, 'PRO': {'bit': '7', 'size': '1', 'desc': 'Page Request Overflow'}, 'ITE': {'bit': '6', 'size': '1', 'desc': 'Invalidation Time-out Error'}, 'ICE': {'bit': '5', 'size': '1', 'desc': 'Invalidation Completion Error'}, 'PFO': {'bit': '0', 'size': '1', 'desc': 'Primary Fault Overflow'}, 'IQE': {'bit': '4', 'size': '1', 'desc': 'Invalidation Queue Error'}, 'APF': {'bit': '3', 'size': '1', 'desc': 'Advanced Pending Fault'}, 'FRI': {'bit': '8', 'size': '7', 'desc': 'Fault Record Index'}}, 'desc': 'Fault Status', 'type': 'mmio', 'size': '4'}
  306. + GFXVTBAR_FECTL : {'bar': 'GFXVTBAR', 'offset': '0x38', 'FIELDS': {'IP': {'bit': '30', 'size': '1', 'desc': 'Interrupt Pending'}, 'R': {'bit': '0', 'size': '30', 'desc': 'Reserved'}, 'IM': {'bit': '31', 'size': '1', 'desc': 'Interrupt Mask'}}, 'desc': 'Fault Event Control', 'type': 'mmio', 'size': '4'}
  307. + GFXVTBAR_FEDATA : {'bar': 'GFXVTBAR', 'offset': '0x3C', 'FIELDS': {'IMD': {'bit': '0', 'size': '16', 'desc': 'Interrupt Message Data'}, 'EIMD': {'bit': '16', 'size': '16', 'desc': 'Extended Interrupt Message Data'}}, 'desc': 'Fault Event Data', 'type': 'mmio', 'size': '4'}
  308. + GFXVTBAR_FEADDR : {'bar': 'GFXVTBAR', 'offset': '0x40', 'FIELDS': {'R': {'bit': '0', 'size': '2', 'desc': 'Reserved'}, 'MA': {'bit': '2', 'size': '30', 'desc': 'Message Address'}}, 'desc': 'Fault Event Address', 'type': 'mmio', 'size': '4'}
  309. + GFXVTBAR_FEUADDR: {'bar': 'GFXVTBAR', 'offset': '0x44', 'FIELDS': {'MUA': {'bit': '0', 'size': '32', 'desc': 'Message Upper Address'}}, 'desc': 'Fault Event Upper Address', 'type': 'mmio', 'size': '4'}
  310. + GFXVTBAR_FRCDL : {'bar': 'GFXVTBAR', 'offset': '0x0', 'desc': 'Fault Recording (Low)', 'type': 'mmio', 'size': '8'}
  311. + GFXVTBAR_FRCDH : {'bar': 'GFXVTBAR', 'offset': '0x8', 'desc': 'Fault Recording (High)', 'type': 'mmio', 'size': '8'}
  312. + GFXVTBAR_AFL : {'bar': 'GFXVTBAR', 'offset': '0x58', 'FIELDS': {'FLA': {'bit': '0', 'size': '52', 'desc': 'Fault Log Address'}, 'FLS': {'bit': '9', 'size': '3', 'desc': 'Fault Log Size'}, 'R': {'bit': '0', 'size': '9', 'desc': 'Reserved'}}, 'desc': 'Advanced Fault Log', 'type': 'mmio', 'size': '8'}
  313. + GFXVTBAR_PMEN : {'bar': 'GFXVTBAR', 'offset': '0x64', 'FIELDS': {'EPM': {'bit': '31', 'size': '1', 'desc': 'Enable Protected Memory'}, 'PRS': {'bit': '0', 'size': '1', 'desc': 'Protected Region Status'}}, 'desc': 'Protected Memory Enable', 'type': 'mmio', 'size': '4'}
  314. + GFXVTBAR_PLMBASE: {'bar': 'GFXVTBAR', 'offset': '0x68', 'FIELDS': {'PLMB': {'bit': '12', 'size': '20', 'desc': 'Protected Low-Memory Base'}}, 'desc': 'Protected Memory Low Base', 'type': 'mmio', 'size': '4'}
  315. + GFXVTBAR_PLMLIMIT: {'bar': 'GFXVTBAR', 'offset': '0x6C', 'FIELDS': {'PLML': {'bit': '12', 'size': '20', 'desc': 'Protected Low-Memory Limit'}}, 'desc': 'Protected Memory Low Limit', 'type': 'mmio', 'size': '4'}
  316. + GFXVTBAR_PHMBASE: {'bar': 'GFXVTBAR', 'offset': '0x70', 'FIELDS': {'PHMB': {'bit': '12', 'size': '52', 'desc': 'Protected High-Memory Base'}}, 'desc': 'Protected Memory High Base', 'type': 'mmio', 'size': '8'}
  317. + GFXVTBAR_PHMLIMIT: {'bar': 'GFXVTBAR', 'offset': '0x78', 'FIELDS': {'PHML': {'bit': '12', 'size': '52', 'desc': 'Protected High-Memory Limit'}}, 'desc': 'Protected Memory High Limit', 'type': 'mmio', 'size': '8'}
  318. + GFXVTBAR_IQH : {'bar': 'GFXVTBAR', 'offset': '0x80', 'FIELDS': {'QH': {'bit': '4', 'size': '15', 'desc': 'Queue Head'}}, 'desc': 'Invalidation Queue Head', 'type': 'mmio', 'size': '8'}
  319. + GFXVTBAR_IQT : {'bar': 'GFXVTBAR', 'offset': '0x88', 'FIELDS': {'QT': {'bit': '4', 'size': '15', 'desc': 'Queue Tail'}}, 'desc': 'Invalidation Queue Tail', 'type': 'mmio', 'size': '8'}
  320. + GFXVTBAR_IQA : {'bar': 'GFXVTBAR', 'offset': '0x90', 'FIELDS': {'QS': {'bit': '0', 'size': '3', 'desc': 'Queue Size'}, 'IQA': {'bit': '12', 'size': '52', 'desc': 'Invalidation Queue Base Address'}}, 'desc': 'Invalidation Queue Address', 'type': 'mmio', 'size': '8'}
  321. + GFXVTBAR_ICS : {'bar': 'GFXVTBAR', 'offset': '0x9C', 'FIELDS': {'IWC': {'bit': '0', 'size': '1', 'desc': 'Invalidation Wait Descriptor Complete'}}, 'desc': 'Invalidation Completion Status', 'type': 'mmio', 'size': '4'}
  322. + GFXVTBAR_IECTL : {'bar': 'GFXVTBAR', 'offset': '0xA0', 'desc': 'Invalidation Event Control', 'type': 'mmio', 'size': '4'}
  323. + GFXVTBAR_IEDATA : {'bar': 'GFXVTBAR', 'offset': '0xA4', 'desc': 'Invalidation Event Data', 'type': 'mmio', 'size': '4'}
  324. + GFXVTBAR_IEADDR : {'bar': 'GFXVTBAR', 'offset': '0xA8', 'desc': 'Invalidation Event Address', 'type': 'mmio', 'size': '4'}
  325. + GFXVTBAR_IEUADDR: {'bar': 'GFXVTBAR', 'offset': '0xAC', 'desc': 'Invalidation Event Address', 'type': 'mmio', 'size': '4'}
  326. + GFXVTBAR_IRTA : {'bar': 'GFXVTBAR', 'offset': '0xB8', 'FIELDS': {'IRTA': {'bit': '12', 'size': '52', 'desc': 'Interrupt Remapping Table Address'}, 'S': {'bit': '0', 'size': '4', 'desc': 'Size'}, 'EIME': {'bit': '11', 'size': '1', 'desc': 'Extended Interrupt Mode Enable'}}, 'desc': 'Invalidation Event Upper Address', 'type': 'mmio', 'size': '4'}
  327. [*] loading controls..
  328. [*] looking for platform config in '/home/liveuser/chipsec/chipsec/cfg/template.xml'..
  329. [CHIPSEC] OS : Linux 4.18.16-300.fc29.x86_64 #1 SMP Sat Oct 20 23:24:08 UTC 2018 x86_64
  330. [CHIPSEC] Platform: Mobile 5th Generation Core Processor (Broadwell M/H / Wildcat Point PCH)
  331. [CHIPSEC] VID: 8086
  332. [CHIPSEC] DID: 1604
  333. [CHIPSEC] PCH : Default PCH
  334. [CHIPSEC] VID: 8086
  335. [CHIPSEC] DID: 9CC3
  336.  
  337. [*] Running from /home/liveuser/chipsec
  338. [*] loading common modules from "./chipsec/modules/common" ..
  339. [*] Path: /home/liveuser/chipsec/chipsec/modules/common
  340. [+] loaded chipsec.modules.common.memlock
  341. [+] loaded chipsec.modules.common.rtclock
  342. [+] loaded chipsec.modules.common.bios_wp
  343. [+] loaded chipsec.modules.common.bios_smi
  344. [+] loaded chipsec.modules.common.ia32cfg
  345. [+] loaded chipsec.modules.common.me_mfg_mode
  346. [+] loaded chipsec.modules.common.spi_access
  347. [+] loaded chipsec.modules.common.smrr
  348. [+] loaded chipsec.modules.common.spi_lock
  349. [+] loaded chipsec.modules.common.sgx_check
  350. [+] loaded chipsec.modules.common.smm
  351. [+] loaded chipsec.modules.common.spi_fdopss
  352. [+] loaded chipsec.modules.common.bios_ts
  353. [+] loaded chipsec.modules.common.spi_desc
  354. [+] loaded chipsec.modules.common.bios_kbrd_buffer
  355. [+] loaded chipsec.modules.common.cpu.spectre_v2
  356. [+] loaded chipsec.modules.common.uefi.access_uefispec
  357. [+] loaded chipsec.modules.common.uefi.s3bootscript
  358. [+] loaded chipsec.modules.common.secureboot.variables
  359. [*] loading platform specific modules from "./chipsec/modules/bdw" ..
  360. [*] Path: /home/liveuser/chipsec/chipsec/modules/bdw
  361. [*] loading modules from "./chipsec/modules" ..
  362. [*] Path: /home/liveuser/chipsec/chipsec/modules
  363. [+] loaded chipsec.modules.memconfig
  364. [+] loaded chipsec.modules.remap
  365. [+] loaded chipsec.modules.debugenabled
  366. [+] loaded chipsec.modules.smm_dma
  367. [*] running loaded modules ..
  368.  
  369. [*] running module: chipsec.modules.common.memlock
  370. [+] imported: chipsec.modules.common.memlock
  371. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/memlock.pyc
  372. [x][ =======================================================================
  373. [x][ Module: Check MSR_LT_LOCK_MEMORY
  374. [x][ =======================================================================
  375. [X] Checking MSR_LT_LOCK_MEMORY status
  376. [cpu] # of logical CPUs: 4
  377. [cpu0] RDMSR( 0x2e7 ): EAX = 0x00000001, EDX = 0x00000000
  378. [*] cpu0: MSR_LT_LOCK_MEMORY[LT_LOCK] = 1
  379. [cpu1] RDMSR( 0x2e7 ): EAX = 0x00000001, EDX = 0x00000000
  380. [*] cpu1: MSR_LT_LOCK_MEMORY[LT_LOCK] = 1
  381. [cpu2] RDMSR( 0x2e7 ): EAX = 0x00000001, EDX = 0x00000000
  382. [*] cpu2: MSR_LT_LOCK_MEMORY[LT_LOCK] = 1
  383. [cpu3] RDMSR( 0x2e7 ): EAX = 0x00000001, EDX = 0x00000000
  384. [*] cpu3: MSR_LT_LOCK_MEMORY[LT_LOCK] = 1
  385. [+] PASSED: Check have successfully passed
  386.  
  387. [*] running module: chipsec.modules.common.rtclock
  388. [+] imported: chipsec.modules.common.rtclock
  389. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/rtclock.pyc
  390. [x][ =======================================================================
  391. [x][ Module: Protected RTC memory locations
  392. [x][ =======================================================================
  393. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  394. [mmio] RCBA: 0x00000000FED1C000 (size = 0x4000)
  395. [mmio] 0xFED1C000 + 0x00003400 = 0x00000004
  396. [*] RC = 0x00000004 << RTC Configuration (RCBA + 0x3400)
  397. [02] UE = 1 << Upper 128 Byte Enable
  398. [03] LL = 0 << Lower 128 Byte Lock
  399. [04] UL = 0 << Upper 128 Byte Lock
  400. [-] Protected bytes (0x38-0x3F) in low 128-byte bank of RTC memory are not locked
  401. [-] Protected bytes (0x38-0x3F) in high 128-byte bank of RTC memory are not locked
  402. [!] WARNING: Protected locations in RTC memory are accessible (BIOS may not be using them)
  403.  
  404. [*] running module: chipsec.modules.common.bios_wp
  405. [+] imported: chipsec.modules.common.bios_wp
  406. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/bios_wp.pyc
  407. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  408. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  409. [spi] SPI MMIO base: 0x00000000FED1F800 (assuming below 4GB)
  410. [spi] Reading SPI flash controller registers definitions:
  411. HSFC offset = 0x0006
  412. HSFS offset = 0x0004
  413. FADDR offset = 0x0008
  414. FDATA0 offset = 0x0010
  415. [x][ =======================================================================
  416. [x][ Module: BIOS Region Write Protection
  417. [x][ =======================================================================
  418. [pci] reading B/D/F: 0/31/0, offset: 0xDC, value: 0x18
  419. [*] BC = 0x18 << BIOS Control (b:d.f 00:31.0 + 0xDC)
  420. [00] BIOSWE = 0 << BIOS Write Enable
  421. [01] BLE = 0 << BIOS Lock Enable
  422. [02] SRC = 2 << SPI Read Configuration
  423. [04] TSS = 1 << Top Swap Status
  424. [05] SMM_BWP = 0 << SMM BIOS Write Protection
  425. [pci] reading B/D/F: 0/31/0, offset: 0xDC, value: 0x18
  426. [pci] reading B/D/F: 0/31/0, offset: 0xDC, value: 0x18
  427. [-] BIOS region write protection is disabled!
  428. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  429. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  430. [mmio] 0xFED1F800 + 0x00000058 = 0x07FF018E
  431.  
  432. [*] BIOS Region: Base = 0x0018E000, Limit = 0x007FFFFF
  433. SPI Protected Ranges
  434. ------------------------------------------------------------
  435. PRx (offset) | Value | Base | Limit | WP? | RP?
  436. ------------------------------------------------------------
  437. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  438. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  439. [mmio] 0xFED1F800 + 0x00000074 = 0x80010000
  440. PR0 (74) | 80010000 | 00000000 | 00001FFF | 1 | 0
  441. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  442. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  443. [mmio] 0xFED1F800 + 0x00000078 = 0x856F018E
  444. PR1 (78) | 856F018E | 0018E000 | 0056FFFF | 1 | 0
  445. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  446. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  447. [mmio] 0xFED1F800 + 0x0000007C = 0xFFFF0592
  448. PR2 (7C) | FFFF0592 | 00592000 | 01FFFFFF | 1 | 0
  449. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  450. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  451. [mmio] 0xFED1F800 + 0x00000080 = 0x00000000
  452. PR3 (80) | 00000000 | 00000000 | 00000000 | 0 | 0
  453. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  454. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  455. [mmio] 0xFED1F800 + 0x00000084 = 0x00000000
  456. PR4 (84) | 00000000 | 00000000 | 00000000 | 0 | 0
  457. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  458. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  459. [mmio] 0xFED1F800 + 0x00000074 = 0x80010000
  460. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  461. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  462. [mmio] 0xFED1F800 + 0x00000078 = 0x856F018E
  463. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  464. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  465. [mmio] 0xFED1F800 + 0x0000007C = 0xFFFF0592
  466. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  467. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  468. [mmio] 0xFED1F800 + 0x00000080 = 0x00000000
  469. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  470. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  471. [mmio] 0xFED1F800 + 0x00000084 = 0x00000000
  472.  
  473. [!] SPI protected ranges write-protect parts of BIOS region (other parts of BIOS can be modified)
  474.  
  475. [!] BIOS should enable all available SMM based write protection mechanisms or configure SPI protected ranges to protect the entire BIOS region
  476. [-] FAILED: BIOS is NOT protected completely
  477.  
  478. [*] running module: chipsec.modules.common.bios_smi
  479. [+] imported: chipsec.modules.common.bios_smi
  480. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/bios_smi.pyc
  481. [x][ =======================================================================
  482. [x][ Module: SMI Events Configuration
  483. [x][ =======================================================================
  484. [pci] reading B/D/F: 0/31/0, offset: 0xDC, value: 0x18
  485. [-] SMM BIOS region write protection has not been enabled (SMM_BWP is not used)
  486.  
  487. [*] Checking SMI enables..
  488. [iobar] read ABASE + 0x30 (4)
  489. [pci] reading B/D/F: 0/31/0, offset: 0x40, value: 0x00001801
  490. [iobar] ABASE: 0x1800 (size = 0x80)
  491. [io] IN 0x1830: value = 0x00000033, size = 0x04
  492. [iobar] read ABASE + 0x30 (4)
  493. [pci] reading B/D/F: 0/31/0, offset: 0x40, value: 0x00001801
  494. [iobar] ABASE: 0x1800 (size = 0x80)
  495. [io] IN 0x1830: value = 0x00000033, size = 0x04
  496. Global SMI enable: 1
  497. TCO SMI enable : 0
  498. WARNING: TCO SMI is not enabled. BIOS may not be using it
  499.  
  500. [*] Checking SMI configuration locks..
  501. [iobar] read ABASE + 0x68 (2)
  502. [pci] reading B/D/F: 0/31/0, offset: 0x40, value: 0x00001801
  503. [iobar] ABASE: 0x1800 (size = 0x80)
  504. [io] IN 0x1868: value = 0x00001800, size = 0x02
  505. [+] TCO SMI configuration is locked (TCO SMI Lock)
  506. [pci] reading B/D/F: 0/31/0, offset: 0xA0, value: 0x12E4
  507. [-] SMI events global configuration is not locked. SMI events can be disabled
  508.  
  509. [-] FAILED: Not all required SMI sources are enabled and locked
  510.  
  511. [*] running module: chipsec.modules.common.ia32cfg
  512. [+] imported: chipsec.modules.common.ia32cfg
  513. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/ia32cfg.pyc
  514. [x][ =======================================================================
  515. [x][ Module: IA32 Feature Control Lock
  516. [x][ =======================================================================
  517. [*] Verifying IA32_Feature_Control MSR is locked on all logical CPUs..
  518. [cpu] # of logical CPUs: 4
  519. [cpu0] RDMSR( 0x3a ): EAX = 0x00000005, EDX = 0x00000000
  520. [*] cpu0: IA32_Feature_Control Lock = 1
  521. [cpu0] RDMSR( 0x3a ): EAX = 0x00000005, EDX = 0x00000000
  522. [*] cpu1: IA32_Feature_Control Lock = 1
  523. [cpu0] RDMSR( 0x3a ): EAX = 0x00000005, EDX = 0x00000000
  524. [*] cpu2: IA32_Feature_Control Lock = 1
  525. [cpu0] RDMSR( 0x3a ): EAX = 0x00000005, EDX = 0x00000000
  526. [*] cpu3: IA32_Feature_Control Lock = 1
  527. [+] PASSED: IA32_FEATURE_CONTROL MSR is locked on all logical CPUs
  528.  
  529. [*] running module: chipsec.modules.common.me_mfg_mode
  530. [+] imported: chipsec.modules.common.me_mfg_mode
  531. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/me_mfg_mode.pyc
  532. [pci] reading B/D/F: 0/22/0, offset: 0x00, value: 0x9CBA8086
  533. [x][ =======================================================================
  534. [x][ Module: ME Manufacturing Mode
  535. [x][ =======================================================================
  536. [pci] reading B/D/F: 0/22/0, offset: 0x40, value: 0x1E000255
  537. [-] FAILED: ME is in Manufacturing Mode
  538.  
  539. [*] running module: chipsec.modules.common.spi_access
  540. [+] imported: chipsec.modules.common.spi_access
  541. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/spi_access.pyc
  542. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  543. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  544. [spi] SPI MMIO base: 0x00000000FED1F800 (assuming below 4GB)
  545. [spi] Reading SPI flash controller registers definitions:
  546. HSFC offset = 0x0006
  547. HSFS offset = 0x0004
  548. FADDR offset = 0x0008
  549. FDATA0 offset = 0x0010
  550. [x][ =======================================================================
  551. [x][ Module: SPI Flash Region Access Control
  552. [x][ =======================================================================
  553. SPI Flash Region Access Permissions
  554. ------------------------------------------------------------
  555. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  556. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  557. [mmio] 0xFED1F800 + 0x00000050 = 0x00004AFF
  558. [*] FRAP = 0x00004AFF << SPI Flash Regions Access Permissions Register (SPIBAR + 0x50)
  559. [00] BRRA = FF << BIOS Region Read Access
  560. [08] BRWA = 4A << BIOS Region Write Access
  561. [16] BMRAG = 0 << BIOS Master Read Access Grant
  562. [24] BMWAG = 0 << BIOS Master Write Access Grant
  563.  
  564. BIOS Region Write Access Grant (00):
  565. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  566. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  567. [mmio] 0xFED1F800 + 0x00000054 = 0x00000000
  568. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  569. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  570. [mmio] 0xFED1F800 + 0x00000058 = 0x07FF018E
  571. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  572. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  573. [mmio] 0xFED1F800 + 0x0000005C = 0x018D0002
  574. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  575. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  576. [mmio] 0xFED1F800 + 0x00000060 = 0x00007FFF
  577. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  578. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  579. [mmio] 0xFED1F800 + 0x00000064 = 0x00010001
  580. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  581. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  582. [mmio] 0xFED1F800 + 0x00000068 = 0x00007FFF
  583. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  584. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  585. [mmio] 0xFED1F800 + 0x0000006C = 0x00007FFF
  586. FREG0_FLASHD: 0
  587. FREG1_BIOS : 0
  588. FREG2_ME : 0
  589. FREG3_GBE : 0
  590. FREG4_PD : 0
  591. FREG5 : 0
  592. FREG6 : 0
  593. BIOS Region Read Access Grant (00):
  594. FREG0_FLASHD: 0
  595. FREG1_BIOS : 0
  596. FREG2_ME : 0
  597. FREG3_GBE : 0
  598. FREG4_PD : 0
  599. FREG5 : 0
  600. FREG6 : 0
  601. BIOS Region Write Access (4A):
  602. FREG0_FLASHD: 0
  603. FREG1_BIOS : 1
  604. FREG2_ME : 0
  605. FREG3_GBE : 1
  606. FREG4_PD : 0
  607. FREG5 : 0
  608. FREG6 : 1
  609. BIOS Region Read Access (FF):
  610. FREG0_FLASHD: 1
  611. FREG1_BIOS : 1
  612. FREG2_ME : 1
  613. FREG3_GBE : 1
  614. FREG4_PD : 1
  615. FREG5 : 1
  616. FREG6 : 1
  617. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  618. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  619. [mmio] 0xFED1F800 + 0x00000050 = 0x00004AFF
  620. [!] WARNING: Software has write access to GBe region in SPI flash
  621. [!] WARNING: Certain SPI flash regions are writeable by software
  622.  
  623. [*] running module: chipsec.modules.common.smrr
  624. [+] imported: chipsec.modules.common.smrr
  625. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/smrr.pyc
  626. [x][ =======================================================================
  627. [x][ Module: CPU SMM Cache Poisoning / System Management Range Registers
  628. [x][ =======================================================================
  629. [cpu] CPUID in : EAX=0x00000001, ECX=0x00000000
  630. [cpu] CPUID out: EAX=0x000306D4, EBX=0x00100800, ECX=0x7FFAFBBF, EDX=0xBFEBFBFF
  631. [cpu0] RDMSR( 0xfe ): EAX = 0x00000D0A, EDX = 0x00000000
  632. [*] MTRRCAP = 0x00000D0A << MTRR Capabilities MSR (MSR 0xFE)
  633. [11] SMRR = 1 << SMRR Supported
  634. [+] OK. SMRR range protection is supported
  635.  
  636. [*] Checking SMRR range base programming..
  637. [cpu0] RDMSR( 0x1f2 ): EAX = 0x8B000006, EDX = 0x00000000
  638. [*] IA32_SMRR_PHYSBASE = 0x8B000006 << SMRR Base Address MSR (MSR 0x1F2)
  639. [00] Type = 6 << SMRR memory type
  640. [12] PhysBase = 8B000 << SMRR physical base address
  641. [*] SMRR range base: 0x000000008B000000
  642. [*] SMRR range memory type is Writeback (WB)
  643. [+] OK so far. SMRR range base is programmed
  644.  
  645. [*] Checking SMRR range mask programming..
  646. [cpu0] RDMSR( 0x1f3 ): EAX = 0xFF800800, EDX = 0x00000000
  647. [*] IA32_SMRR_PHYSMASK = 0xFF800800 << SMRR Range Mask MSR (MSR 0x1F3)
  648. [11] Valid = 1 << SMRR valid
  649. [12] PhysMask = FF800 << SMRR address range mask
  650. [*] SMRR range mask: 0x00000000FF800000
  651. [+] OK so far. SMRR range is enabled
  652.  
  653. [*] Verifying that SMRR range base & mask are the same on all logical CPUs..
  654. [cpu] # of logical CPUs: 4
  655. [cpu0] RDMSR( 0x1f2 ): EAX = 0x8B000006, EDX = 0x00000000
  656. [cpu0] RDMSR( 0x1f3 ): EAX = 0xFF800800, EDX = 0x00000000
  657. [CPU0] SMRR_PHYSBASE = 000000008B000006, SMRR_PHYSMASK = 00000000FF800800
  658. [cpu1] RDMSR( 0x1f2 ): EAX = 0x8B000006, EDX = 0x00000000
  659. [cpu1] RDMSR( 0x1f3 ): EAX = 0xFF800800, EDX = 0x00000000
  660. [CPU1] SMRR_PHYSBASE = 000000008B000006, SMRR_PHYSMASK = 00000000FF800800
  661. [cpu2] RDMSR( 0x1f2 ): EAX = 0x8B000006, EDX = 0x00000000
  662. [cpu2] RDMSR( 0x1f3 ): EAX = 0xFF800800, EDX = 0x00000000
  663. [CPU2] SMRR_PHYSBASE = 000000008B000006, SMRR_PHYSMASK = 00000000FF800800
  664. [cpu3] RDMSR( 0x1f2 ): EAX = 0x8B000006, EDX = 0x00000000
  665. [cpu3] RDMSR( 0x1f3 ): EAX = 0xFF800800, EDX = 0x00000000
  666. [CPU3] SMRR_PHYSBASE = 000000008B000006, SMRR_PHYSMASK = 00000000FF800800
  667. [+] OK so far. SMRR range base/mask match on all logical CPUs
  668. [*] Trying to read memory at SMRR base 0x8B000000..
  669. [mem] 0x000000008B000000
  670. [mem] dword at PA = 0x000000008B000000: 0xFFFFFFFF
  671. [+] PASSED: SMRR reads are blocked in non-SMM mode
  672.  
  673. [+] PASSED: SMRR protection against cache attack is properly configured
  674.  
  675. [*] running module: chipsec.modules.common.spi_lock
  676. [+] imported: chipsec.modules.common.spi_lock
  677. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/spi_lock.pyc
  678. [x][ =======================================================================
  679. [x][ Module: SPI Flash Controller Configuration Locks
  680. [x][ =======================================================================
  681. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  682. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  683. [mmio] 0xFED1F800 + 0x00000004 = 0x0000E008
  684. [*] HSFS = 0xE008 << Hardware Sequencing Flash Status Register (SPIBAR + 0x4)
  685. [00] FDONE = 0 << Flash Cycle Done
  686. [01] FCERR = 0 << Flash Cycle Error
  687. [02] AEL = 0 << Access Error Log
  688. [03] BERASE = 1 << Block/Sector Erase Size
  689. [05] SCIP = 0 << SPI cycle in progress
  690. [13] FDOPSS = 1 << Flash Descriptor Override Pin-Strap Status
  691. [14] FDV = 1 << Flash Descriptor Valid
  692. [15] FLOCKDN = 1 << Flash Configuration Lock-Down
  693. [+] SPI Flash Controller configuration is locked
  694. [+] PASSED: SPI Flash Controller locked correctly.
  695.  
  696. [*] running module: chipsec.modules.common.sgx_check
  697. [+] imported: chipsec.modules.common.sgx_check
  698. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/sgx_check.pyc
  699. [x][ =======================================================================
  700. [x][ Module: Check SGX feature support
  701. [x][ =======================================================================
  702. [*] Test if CPU has support for SGX
  703. [cpu] # of logical CPUs: 4
  704. GetAffinity: 3
  705. [cpu] CPUID in : EAX=0x00000007, ECX=0x00000000
  706. [cpu] CPUID out: EAX=0x00000000, EBX=0x021C27AB, ECX=0x00000000, EDX=0x9C000000
  707. [*]CPU0: does not support SGX
  708. GetAffinity: 3
  709. [cpu] CPUID in : EAX=0x00000007, ECX=0x00000000
  710. [cpu] CPUID out: EAX=0x00000000, EBX=0x021C27AB, ECX=0x00000000, EDX=0x9C000000
  711. [*]CPU1: does not support SGX
  712. GetAffinity: 3
  713. [cpu] CPUID in : EAX=0x00000007, ECX=0x00000000
  714. [cpu] CPUID out: EAX=0x00000000, EBX=0x021C27AB, ECX=0x00000000, EDX=0x9C000000
  715. [*]CPU2: does not support SGX
  716. GetAffinity: 3
  717. [cpu] CPUID in : EAX=0x00000007, ECX=0x00000000
  718. [cpu] CPUID out: EAX=0x00000000, EBX=0x021C27AB, ECX=0x00000000, EDX=0x9C000000
  719. [*]CPU3: does not support SGX
  720. [#] INFORMATION: SGX is not supported on CPU
  721. [*] NOT APPLICABLE: SGX test is being skipped
  722.  
  723. [*] running module: chipsec.modules.common.smm
  724. [+] imported: chipsec.modules.common.smm
  725. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/smm.pyc
  726. [x][ =======================================================================
  727. [x][ Module: Compatible SMM memory (SMRAM) Protection
  728. [x][ =======================================================================
  729. [pci] reading B/D/F: 0/0/0, offset: 0x88, value: 0x1A
  730. [*] PCI0.0.0_SMRAMC = 0x1A << System Management RAM Control (b:d.f 00:00.0 + 0x88)
  731. [00] C_BASE_SEG = 2 << SMRAM Base Segment = 010b
  732. [03] G_SMRAME = 1 << SMRAM Enabled
  733. [04] D_LCK = 1 << SMRAM Locked
  734. [05] D_CLS = 0 << SMRAM Closed
  735. [06] D_OPEN = 0 << SMRAM Open
  736. [*] Compatible SMRAM is enabled
  737. [+] PASSED: Compatible SMRAM is locked down
  738.  
  739. [*] running module: chipsec.modules.common.spi_fdopss
  740. [+] imported: chipsec.modules.common.spi_fdopss
  741. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/spi_fdopss.pyc
  742. [x][ =======================================================================
  743. [x][ Module: SPI Flash Descriptor Security Override Pin-Strap
  744. [x][ =======================================================================
  745. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  746. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  747. [mmio] 0xFED1F800 + 0x00000004 = 0x0000E008
  748. [*] HSFS = 0xE008 << Hardware Sequencing Flash Status Register (SPIBAR + 0x4)
  749. [00] FDONE = 0 << Flash Cycle Done
  750. [01] FCERR = 0 << Flash Cycle Error
  751. [02] AEL = 0 << Access Error Log
  752. [03] BERASE = 1 << Block/Sector Erase Size
  753. [05] SCIP = 0 << SPI cycle in progress
  754. [13] FDOPSS = 1 << Flash Descriptor Override Pin-Strap Status
  755. [14] FDV = 1 << Flash Descriptor Valid
  756. [15] FLOCKDN = 1 << Flash Configuration Lock-Down
  757. [+] PASSED: SPI Flash Descriptor Security Override is disabled
  758.  
  759. [*] running module: chipsec.modules.common.bios_ts
  760. [+] imported: chipsec.modules.common.bios_ts
  761. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/bios_ts.pyc
  762. [x][ =======================================================================
  763. [x][ Module: BIOS Interface Lock (including Top Swap Mode)
  764. [x][ =======================================================================
  765. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  766. [mmio] RCBA: 0x00000000FED1C000 (size = 0x4000)
  767. [mmio] 0xFED1C000 + 0x00003410 = 0x00000021
  768. [*] BiosInterfaceLockDown (BILD) control = 1
  769. [pci] reading B/D/F: 0/31/0, offset: 0xDC, value: 0x18
  770. [*] BIOS Top Swap mode is enabled (TSS = 1)
  771. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  772. [mmio] RCBA: 0x00000000FED1C000 (size = 0x4000)
  773. [mmio] 0xFED1C000 + 0x00003414 = 0x00000021
  774. [*] RTC TopSwap control (TS) = 1
  775. [+] PASSED: BIOS Interface is locked (including Top Swap Mode)
  776.  
  777. [*] running module: chipsec.modules.common.spi_desc
  778. [+] imported: chipsec.modules.common.spi_desc
  779. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/spi_desc.pyc
  780. [x][ =======================================================================
  781. [x][ Module: SPI Flash Region Access Control
  782. [x][ =======================================================================
  783. [pci] reading B/D/F: 0/31/0, offset: 0xF0, value: 0xFED1C001
  784. [mmio] SPIBAR: 0x00000000FED1F800 (size = 0x200)
  785. [mmio] 0xFED1F800 + 0x00000050 = 0x00004AFF
  786. [*] FRAP = 0x00004AFF << SPI Flash Regions Access Permissions Register (SPIBAR + 0x50)
  787. [00] BRRA = FF << BIOS Region Read Access
  788. [08] BRWA = 4A << BIOS Region Write Access
  789. [16] BMRAG = 0 << BIOS Master Read Access Grant
  790. [24] BMWAG = 0 << BIOS Master Write Access Grant
  791. [*] Software access to SPI flash regions: read = 0xFF, write = 0x4A
  792.  
  793. [+] PASSED: SPI flash permissions prevent SW from writing to flash descriptor
  794.  
  795. [*] running module: chipsec.modules.common.bios_kbrd_buffer
  796. [+] imported: chipsec.modules.common.bios_kbrd_buffer
  797. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/bios_kbrd_buffer.pyc
  798. [x][ =======================================================================
  799. [x][ Module: Pre-boot Passwords in the BIOS Keyboard Buffer
  800. [x][ =======================================================================
  801. [mem] 0x000000000000041A
  802. [mem] dword at PA = 0x000000000000041A: 0x1D1C1B1A
  803. [mem] 0x000000000000041C
  804. [mem] dword at PA = 0x000000000000041C: 0x1F1E1D1C
  805. [*] Keyboard buffer head pointer = 0x1A (at 0x41A), tail pointer = 0x1C (at 0x41C)
  806. [mem] 0x000000000000041E
  807. [*] Keyboard buffer contents (at 0x41E):
  808. 1e 1f 20 21 22 23 24 25 26 27 28 29 2a 2b 2c 2d | !"#$%&'()*+,-
  809. 2e 2f 30 31 32 33 34 35 36 37 38 39 3a 3b 3c 3d | ./0123456789:;<=
  810. [+] PASSED: Keyboard buffer is filled with common fill pattern
  811.  
  812. [*] running module: chipsec.modules.common.cpu.spectre_v2
  813. [+] imported: chipsec.modules.common.cpu.spectre_v2
  814. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/cpu/spectre_v2.pyc
  815. [x][ =======================================================================
  816. [x][ Module: Checks for Branch Target Injection / Spectre v2 (CVE-2017-5715)
  817. [x][ =======================================================================
  818. [cpu] # of logical CPUs: 4
  819. [cpu] CPUID in : EAX=0x00000007, ECX=0x00000000
  820. [cpu] CPUID out: EAX=0x00000000, EBX=0x021C27AB, ECX=0x00000000, EDX=0x9C000000
  821. [*] CPUID.7H:EDX[26] = 1 Indirect Branch Restricted Speculation (IBRS) & Predictor Barrier (IBPB)
  822. [*] CPUID.7H:EDX[27] = 1 Single Thread Indirect Branch Predictors (STIBP)
  823. [*] CPUID.7H:EDX[29] = 0 IA32_ARCH_CAPABILITIES
  824. [+] CPU supports IBRS and IBPB
  825. [+] CPU supports STIBP
  826. [-] CPU doesn't support enhanced IBRS
  827. [!] WARNING: CPU supports mitigation (IBRS) but doesn't support enhanced IBRS
  828. [!] OS may be using software based mitigation (eg. retpoline)
  829.  
  830. [*] running module: chipsec.modules.common.uefi.access_uefispec
  831. [+] imported: chipsec.modules.common.uefi.access_uefispec
  832. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/uefi/access_uefispec.pyc
  833. [x][ =======================================================================
  834. [x][ Module: Access Control of EFI Variables
  835. [x][ =======================================================================
  836. [*] Testing UEFI variables ..
  837. [*] Variable Boot0000 (NV+BS+RT)
  838. [*] Variable ErrOutDev (BS+RT)
  839. [!] Extra attributes:
  840. [*] Variable HW_ROM (BS+RT)
  841. [*] Variable efi-backup-boot-device-data (NV+BS+RT)
  842. [*] Variable HW_ICT (BS+RT)
  843. [*] Variable BootOrder (NV+BS+RT)
  844. [!] Extra attributes:
  845. [*] Variable BootCampProcessorPstates (BS+RT)
  846. [*] Variable GR_CAUSE (BS+RT)
  847. [*] Variable prev-lang:kbd (NV+BS+RT)
  848. [*] Variable SystemAudioVolume (NV+BS+RT)
  849. [*] Variable gfx-saved-config-restore-status (BS+RT)
  850. [*] Variable Boot0080 (NV+BS+RT)
  851. [*] Variable previous-system-uuid (NV+BS+RT)
  852. [*] Variable Boot0082 (NV+BS+RT)
  853. [*] Variable fmm-computer-name (NV+BS+RT)
  854. [*] Variable fpf_provisioned (NV+BS+RT)
  855. [*] Variable HW_BID (BS+RT)
  856. [*] Variable ConOut (NV+BS+RT)
  857. [!] Extra attributes:
  858. [*] Variable HardwareBootMode (BS+RT)
  859. [*] Variable ROM (BS+RT)
  860. [*] Variable boot-gamma (NV+BS+RT)
  861. [*] Variable efi-backup-boot-device (NV+BS+RT)
  862. [*] Variable last-oslogin-ident (NV+BS+RT)
  863. [*] Variable AAPL,PathProperties0000 (NV+BS+RT)
  864. [*] Variable SystemAudioVolumeDB (NV+BS+RT)
  865. [*] Variable SSN (BS+RT)
  866. [*] Variable AAPL,PanicInfo0001 (NV+BS+RT)
  867. [*] Variable BootFFFF (NV+BS+RT)
  868. [*] Variable Setup (NV+BS+RT)
  869. [*] Variable MLB (BS+RT)
  870. [*] Variable AAPL,PanicInfo0000 (NV+BS+RT)
  871. [*] Variable AAPL,PanicInfo0003 (NV+BS+RT)
  872. [*] Variable AAPL,PanicInfo0002 (NV+BS+RT)
  873. [*] Variable current-network (NV+BS+RT)
  874. [*] Variable efi-backup-boot-device-data-data (NV+BS+RT)
  875. [*] Variable BBIF (BS+RT)
  876. [*] Variable Lang (NV+BS+RT)
  877. [!] Extra attributes:
  878. [*] Variable csr-active-config (NV+BS+RT)
  879. [*] Variable FirmwareFeatures (BS+RT)
  880. [*] Variable HW_MLB (BS+RT)
  881. [*] Variable BootCurrent (BS+RT)
  882. [!] Extra attributes:
  883. [*] Variable backlight-level (NV+BS+RT)
  884. [*] Variable Timeout (NV+BS+RT)
  885. [!] Extra attributes:
  886. [*] Variable panicmedic (NV+BS+RT)
  887. [*] Variable SetupDefaults (NV+BS+RT)
  888. [*] Variable MTC (NV+BS+RT)
  889. [*] Variable efi-boot-device-data (NV+BS+RT)
  890. [*] Variable preferred-networks (NV+BS+RT)
  891. [*] Variable preferred-count (NV+BS+RT)
  892. [*] Variable bluetoothActiveControllerInfo (NV+BS+RT)
  893. [*] Variable FirmwareFeaturesMask (BS+RT)
  894. [*] Variable SystemAudioVolumeSaved (NV+BS+RT)
  895. [*] Variable bluetoothInternalControllerInfo (NV+BS+RT)
  896. [*] Variable efi-boot-device (NV+BS+RT)
  897. [*] Variable EfiNicIp4ConfigVariable (NV+BS+RT)
  898. [*] Variable AcpiGlobalVariable (NV+BS+RT)
  899. [*] Variable LangCodes (BS+RT)
  900. [!] Extra attributes:
  901. [*] Variable MemoryConfig (NV+BS+RT)
  902. [*] Variable MemoryConfih (NV+BS+RT)
  903. [*] Variable epid_provisioned (NV+BS+RT)
  904. [*] Variable ConOutDev (BS+RT)
  905. [!] Extra attributes:
  906. [*] Variable ALS_Data (NV+BS+RT)
  907.  
  908. [-] Variables with attributes that differ from UEFI spec:
  909. ErrOutDev
  910. BootOrder
  911. ConOut
  912. Lang
  913. BootCurrent
  914. Timeout
  915. LangCodes
  916. ConOutDev
  917.  
  918. [-] FAILED: Some EFI variables were not protected according to spec.
  919.  
  920. [*] running module: chipsec.modules.common.uefi.s3bootscript
  921. [+] imported: chipsec.modules.common.uefi.s3bootscript
  922. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/uefi/s3bootscript.pyc
  923. [x][ =======================================================================
  924. [x][ Module: S3 Resume Boot-Script Protections
  925. [x][ =======================================================================
  926. [cpu] CPUID in : EAX=0x00000001, ECX=0x00000000
  927. [cpu] CPUID out: EAX=0x000306D4, EBX=0x03100800, ECX=0x7FFAFBBF, EDX=0xBFEBFBFF
  928. [cpu0] RDMSR( 0xfe ): EAX = 0x00000D0A, EDX = 0x00000000
  929. [*] MTRRCAP = 0x00000D0A << MTRR Capabilities MSR (MSR 0xFE)
  930. [11] SMRR = 1 << SMRR Supported
  931. [cpu0] RDMSR( 0x1f2 ): EAX = 0x8B000006, EDX = 0x00000000
  932. [cpu0] RDMSR( 0x1f3 ): EAX = 0xFF800800, EDX = 0x00000000
  933. [*] SMRAM: Base = 0x000000008B000000, Limit = 0x000000008B7FFFFF, Size = 0x00800000
  934. [uefi] searching for EFI variable(s): ['AcpiGlobalVariable']
  935. [uefi] found: AcpiGlobalVariable {af9ffd67-ec10-488a-9dfc-6cbf5ee22c2e} NV+BS+RT variable
  936. [uefi] AcpiGlobalVariable variable data:
  937. 00 e0 fa 8a 00 00 00 00 |
  938. [uefi] Pointer to ACPI Global Data structure: 0x000000008AFAE000
  939. [uefi] Decoding ACPI Global Data structure..
  940. [uefi] AcpiVariableSet structure:
  941. 00 70 d3 8a 00 00 00 00 00 20 01 00 00 00 00 00 | p
  942. 00 00 00 00 00 00 00 00 00 b0 d2 8a 00 00 00 00 |
  943. 00 10 d0 8a 00 00 00 00 00 60 d0 8a 00 00 00 00 | `
  944. 00 00 00 8b 00 00 00 00 00 00 00 00 00 00 00 00 |
  945. 00 00 00 00 00 00 00 00 |
  946. [uefi] ACPI Boot-Script table base = 0x000000008AD2B000
  947. [!] Found 1 S3 boot-script(s) in EFI variables
  948. [*] Checking S3 boot-script at 0x000000008AD2B000
  949. [-] S3 boot-script is not in SMRAM
  950. [*] Reading S3 boot-script from memory..
  951. [mem] 0x000000008AD2B000
  952. [*] Decoding S3 boot-script opcodes..
  953. S3 Boot Script AA Parser
  954. S3_BOOTSCRIPT_MEM_READ_WRITE
  955. S3_BOOTSCRIPT_PCI_CONFIG_WRITE
  956. S3_BOOTSCRIPT_MEM_READ_WRITE
  957. S3_BOOTSCRIPT_MEM_READ_WRITE
  958. S3_BOOTSCRIPT_MEM_READ_WRITE
  959. S3_BOOTSCRIPT_MEM_READ_WRITE
  960. S3_BOOTSCRIPT_MEM_READ_WRITE
  961. S3_BOOTSCRIPT_MEM_READ_WRITE
  962. S3_BOOTSCRIPT_MEM_READ_WRITE
  963. S3_BOOTSCRIPT_MEM_READ_WRITE
  964. S3_BOOTSCRIPT_MEM_READ_WRITE
  965. S3_BOOTSCRIPT_MEM_READ_WRITE
  966. S3_BOOTSCRIPT_MEM_READ_WRITE
  967. S3_BOOTSCRIPT_MEM_READ_WRITE
  968. S3_BOOTSCRIPT_MEM_READ_WRITE
  969. S3_BOOTSCRIPT_MEM_READ_WRITE
  970. S3_BOOTSCRIPT_MEM_READ_WRITE
  971. S3_BOOTSCRIPT_MEM_READ_WRITE
  972. S3_BOOTSCRIPT_MEM_WRITE
  973. S3_BOOTSCRIPT_MEM_WRITE
  974. S3_BOOTSCRIPT_MEM_WRITE
  975. S3_BOOTSCRIPT_MEM_WRITE
  976. S3_BOOTSCRIPT_MEM_WRITE
  977. S3_BOOTSCRIPT_MEM_WRITE
  978. S3_BOOTSCRIPT_MEM_WRITE
  979. S3_BOOTSCRIPT_MEM_WRITE
  980. S3_BOOTSCRIPT_MEM_WRITE
  981. S3_BOOTSCRIPT_MEM_WRITE
  982. S3_BOOTSCRIPT_MEM_WRITE
  983. S3_BOOTSCRIPT_MEM_READ_WRITE
  984. S3_BOOTSCRIPT_MEM_WRITE
  985. S3_BOOTSCRIPT_MEM_WRITE
  986. S3_BOOTSCRIPT_MEM_READ_WRITE
  987. S3_BOOTSCRIPT_MEM_WRITE
  988. S3_BOOTSCRIPT_MEM_WRITE
  989. S3_BOOTSCRIPT_MEM_WRITE
  990. S3_BOOTSCRIPT_MEM_READ_WRITE
  991. S3_BOOTSCRIPT_MEM_WRITE
  992. S3_BOOTSCRIPT_MEM_WRITE
  993. S3_BOOTSCRIPT_MEM_READ_WRITE
  994. S3_BOOTSCRIPT_MEM_WRITE
  995. S3_BOOTSCRIPT_MEM_WRITE
  996. S3_BOOTSCRIPT_MEM_WRITE
  997. S3_BOOTSCRIPT_MEM_READ_WRITE
  998. S3_BOOTSCRIPT_MEM_WRITE
  999. S3_BOOTSCRIPT_MEM_WRITE
  1000. S3_BOOTSCRIPT_MEM_WRITE
  1001. S3_BOOTSCRIPT_MEM_WRITE
  1002. S3_BOOTSCRIPT_MEM_WRITE
  1003. S3_BOOTSCRIPT_MEM_WRITE
  1004. S3_BOOTSCRIPT_MEM_WRITE
  1005. S3_BOOTSCRIPT_MEM_WRITE
  1006. S3_BOOTSCRIPT_MEM_READ_WRITE
  1007. S3_BOOTSCRIPT_MEM_WRITE
  1008. S3_BOOTSCRIPT_MEM_WRITE
  1009. S3_BOOTSCRIPT_MEM_READ_WRITE
  1010. S3_BOOTSCRIPT_MEM_WRITE
  1011. S3_BOOTSCRIPT_MEM_READ_WRITE
  1012. S3_BOOTSCRIPT_MEM_WRITE
  1013. S3_BOOTSCRIPT_MEM_WRITE
  1014. S3_BOOTSCRIPT_MEM_READ_WRITE
  1015. S3_BOOTSCRIPT_MEM_WRITE
  1016. S3_BOOTSCRIPT_MEM_WRITE
  1017. S3_BOOTSCRIPT_MEM_WRITE
  1018. S3_BOOTSCRIPT_MEM_WRITE
  1019. S3_BOOTSCRIPT_MEM_WRITE
  1020. S3_BOOTSCRIPT_MEM_WRITE
  1021. S3_BOOTSCRIPT_MEM_WRITE
  1022. S3_BOOTSCRIPT_MEM_WRITE
  1023. S3_BOOTSCRIPT_MEM_WRITE
  1024. S3_BOOTSCRIPT_MEM_WRITE
  1025. S3_BOOTSCRIPT_MEM_WRITE
  1026. S3_BOOTSCRIPT_MEM_WRITE
  1027. S3_BOOTSCRIPT_MEM_WRITE
  1028. S3_BOOTSCRIPT_MEM_WRITE
  1029. S3_BOOTSCRIPT_MEM_WRITE
  1030. S3_BOOTSCRIPT_MEM_WRITE
  1031. S3_BOOTSCRIPT_MEM_WRITE
  1032. S3_BOOTSCRIPT_MEM_WRITE
  1033. S3_BOOTSCRIPT_MEM_WRITE
  1034. S3_BOOTSCRIPT_MEM_WRITE
  1035. S3_BOOTSCRIPT_MEM_WRITE
  1036. S3_BOOTSCRIPT_MEM_WRITE
  1037. S3_BOOTSCRIPT_MEM_WRITE
  1038. S3_BOOTSCRIPT_MEM_WRITE
  1039. S3_BOOTSCRIPT_MEM_WRITE
  1040. S3_BOOTSCRIPT_MEM_WRITE
  1041. S3_BOOTSCRIPT_MEM_WRITE
  1042. S3_BOOTSCRIPT_MEM_WRITE
  1043. S3_BOOTSCRIPT_MEM_WRITE
  1044. S3_BOOTSCRIPT_MEM_WRITE
  1045. S3_BOOTSCRIPT_MEM_WRITE
  1046. S3_BOOTSCRIPT_MEM_WRITE
  1047. S3_BOOTSCRIPT_MEM_WRITE
  1048. S3_BOOTSCRIPT_MEM_WRITE
  1049. S3_BOOTSCRIPT_MEM_WRITE
  1050. S3_BOOTSCRIPT_MEM_WRITE
  1051. S3_BOOTSCRIPT_MEM_WRITE
  1052. S3_BOOTSCRIPT_MEM_WRITE
  1053. S3_BOOTSCRIPT_MEM_WRITE
  1054. S3_BOOTSCRIPT_MEM_WRITE
  1055. S3_BOOTSCRIPT_MEM_WRITE
  1056. S3_BOOTSCRIPT_MEM_WRITE
  1057. S3_BOOTSCRIPT_MEM_WRITE
  1058. S3_BOOTSCRIPT_MEM_WRITE
  1059. S3_BOOTSCRIPT_MEM_WRITE
  1060. S3_BOOTSCRIPT_MEM_WRITE
  1061. S3_BOOTSCRIPT_MEM_WRITE
  1062. S3_BOOTSCRIPT_MEM_WRITE
  1063. S3_BOOTSCRIPT_MEM_WRITE
  1064. S3_BOOTSCRIPT_MEM_WRITE
  1065. S3_BOOTSCRIPT_MEM_WRITE
  1066. S3_BOOTSCRIPT_MEM_WRITE
  1067. S3_BOOTSCRIPT_MEM_WRITE
  1068. S3_BOOTSCRIPT_MEM_WRITE
  1069. S3_BOOTSCRIPT_MEM_WRITE
  1070. S3_BOOTSCRIPT_MEM_READ_WRITE
  1071. S3_BOOTSCRIPT_MEM_WRITE
  1072. S3_BOOTSCRIPT_MEM_WRITE
  1073. S3_BOOTSCRIPT_MEM_READ_WRITE
  1074. S3_BOOTSCRIPT_MEM_WRITE
  1075. S3_BOOTSCRIPT_MEM_WRITE
  1076. S3_BOOTSCRIPT_MEM_WRITE
  1077. S3_BOOTSCRIPT_MEM_WRITE
  1078. S3_BOOTSCRIPT_MEM_WRITE
  1079. S3_BOOTSCRIPT_MEM_WRITE
  1080. S3_BOOTSCRIPT_MEM_WRITE
  1081. S3_BOOTSCRIPT_MEM_WRITE
  1082. S3_BOOTSCRIPT_MEM_WRITE
  1083. S3_BOOTSCRIPT_MEM_WRITE
  1084. S3_BOOTSCRIPT_MEM_WRITE
  1085. S3_BOOTSCRIPT_MEM_WRITE
  1086. S3_BOOTSCRIPT_MEM_WRITE
  1087. S3_BOOTSCRIPT_MEM_WRITE
  1088. S3_BOOTSCRIPT_MEM_WRITE
  1089. S3_BOOTSCRIPT_MEM_WRITE
  1090. S3_BOOTSCRIPT_MEM_WRITE
  1091. S3_BOOTSCRIPT_MEM_WRITE
  1092. S3_BOOTSCRIPT_MEM_WRITE
  1093. S3_BOOTSCRIPT_MEM_WRITE
  1094. S3_BOOTSCRIPT_MEM_WRITE
  1095. S3_BOOTSCRIPT_MEM_WRITE
  1096. S3_BOOTSCRIPT_MEM_WRITE
  1097. S3_BOOTSCRIPT_MEM_WRITE
  1098. S3_BOOTSCRIPT_MEM_WRITE
  1099. S3_BOOTSCRIPT_MEM_WRITE
  1100. S3_BOOTSCRIPT_MEM_WRITE
  1101. S3_BOOTSCRIPT_MEM_WRITE
  1102. S3_BOOTSCRIPT_MEM_WRITE
  1103. S3_BOOTSCRIPT_MEM_WRITE
  1104. S3_BOOTSCRIPT_MEM_WRITE
  1105. S3_BOOTSCRIPT_MEM_WRITE
  1106. S3_BOOTSCRIPT_MEM_WRITE
  1107. S3_BOOTSCRIPT_MEM_WRITE
  1108. S3_BOOTSCRIPT_MEM_WRITE
  1109. S3_BOOTSCRIPT_MEM_WRITE
  1110. S3_BOOTSCRIPT_MEM_WRITE
  1111. S3_BOOTSCRIPT_MEM_WRITE
  1112. S3_BOOTSCRIPT_MEM_WRITE
  1113. S3_BOOTSCRIPT_MEM_WRITE
  1114. S3_BOOTSCRIPT_MEM_WRITE
  1115. S3_BOOTSCRIPT_MEM_READ_WRITE
  1116. S3_BOOTSCRIPT_MEM_WRITE
  1117. S3_BOOTSCRIPT_MEM_WRITE
  1118. S3_BOOTSCRIPT_MEM_READ_WRITE
  1119. S3_BOOTSCRIPT_MEM_WRITE
  1120. S3_BOOTSCRIPT_MEM_READ_WRITE
  1121. S3_BOOTSCRIPT_MEM_WRITE
  1122. S3_BOOTSCRIPT_MEM_WRITE
  1123. S3_BOOTSCRIPT_MEM_READ_WRITE
  1124. S3_BOOTSCRIPT_MEM_WRITE
  1125. S3_BOOTSCRIPT_MEM_READ_WRITE
  1126. S3_BOOTSCRIPT_MEM_WRITE
  1127. S3_BOOTSCRIPT_MEM_WRITE
  1128. S3_BOOTSCRIPT_MEM_READ_WRITE
  1129. S3_BOOTSCRIPT_MEM_WRITE
  1130. S3_BOOTSCRIPT_MEM_READ_WRITE
  1131. S3_BOOTSCRIPT_MEM_WRITE
  1132. S3_BOOTSCRIPT_MEM_WRITE
  1133. S3_BOOTSCRIPT_MEM_READ_WRITE
  1134. S3_BOOTSCRIPT_MEM_WRITE
  1135. S3_BOOTSCRIPT_MEM_READ_WRITE
  1136. S3_BOOTSCRIPT_MEM_WRITE
  1137. S3_BOOTSCRIPT_MEM_WRITE
  1138. S3_BOOTSCRIPT_MEM_READ_WRITE
  1139. S3_BOOTSCRIPT_MEM_WRITE
  1140. S3_BOOTSCRIPT_MEM_READ_WRITE
  1141. S3_BOOTSCRIPT_MEM_WRITE
  1142. S3_BOOTSCRIPT_MEM_WRITE
  1143. S3_BOOTSCRIPT_MEM_READ_WRITE
  1144. S3_BOOTSCRIPT_MEM_WRITE
  1145. S3_BOOTSCRIPT_MEM_READ_WRITE
  1146. S3_BOOTSCRIPT_MEM_WRITE
  1147. S3_BOOTSCRIPT_MEM_WRITE
  1148. S3_BOOTSCRIPT_MEM_READ_WRITE
  1149. S3_BOOTSCRIPT_MEM_WRITE
  1150. S3_BOOTSCRIPT_MEM_READ_WRITE
  1151. S3_BOOTSCRIPT_MEM_WRITE
  1152. S3_BOOTSCRIPT_MEM_WRITE
  1153. S3_BOOTSCRIPT_MEM_READ_WRITE
  1154. S3_BOOTSCRIPT_MEM_WRITE
  1155. S3_BOOTSCRIPT_MEM_READ_WRITE
  1156. S3_BOOTSCRIPT_MEM_WRITE
  1157. S3_BOOTSCRIPT_MEM_WRITE
  1158. S3_BOOTSCRIPT_MEM_READ_WRITE
  1159. S3_BOOTSCRIPT_MEM_WRITE
  1160. S3_BOOTSCRIPT_MEM_READ_WRITE
  1161. S3_BOOTSCRIPT_MEM_WRITE
  1162. S3_BOOTSCRIPT_MEM_WRITE
  1163. S3_BOOTSCRIPT_MEM_READ_WRITE
  1164. S3_BOOTSCRIPT_MEM_WRITE
  1165. S3_BOOTSCRIPT_MEM_READ_WRITE
  1166. S3_BOOTSCRIPT_MEM_WRITE
  1167. S3_BOOTSCRIPT_MEM_WRITE
  1168. S3_BOOTSCRIPT_MEM_READ_WRITE
  1169. S3_BOOTSCRIPT_MEM_WRITE
  1170. S3_BOOTSCRIPT_MEM_READ_WRITE
  1171. S3_BOOTSCRIPT_MEM_WRITE
  1172. S3_BOOTSCRIPT_MEM_WRITE
  1173. S3_BOOTSCRIPT_MEM_READ_WRITE
  1174. S3_BOOTSCRIPT_MEM_WRITE
  1175. S3_BOOTSCRIPT_MEM_READ_WRITE
  1176. S3_BOOTSCRIPT_MEM_WRITE
  1177. S3_BOOTSCRIPT_MEM_WRITE
  1178. S3_BOOTSCRIPT_MEM_READ_WRITE
  1179. S3_BOOTSCRIPT_MEM_WRITE
  1180. S3_BOOTSCRIPT_MEM_READ_WRITE
  1181. S3_BOOTSCRIPT_MEM_WRITE
  1182. S3_BOOTSCRIPT_MEM_WRITE
  1183. S3_BOOTSCRIPT_MEM_READ_WRITE
  1184. S3_BOOTSCRIPT_MEM_WRITE
  1185. S3_BOOTSCRIPT_MEM_READ_WRITE
  1186. S3_BOOTSCRIPT_MEM_WRITE
  1187. S3_BOOTSCRIPT_MEM_WRITE
  1188. S3_BOOTSCRIPT_MEM_READ_WRITE
  1189. S3_BOOTSCRIPT_MEM_WRITE
  1190. S3_BOOTSCRIPT_MEM_READ_WRITE
  1191. S3_BOOTSCRIPT_MEM_WRITE
  1192. S3_BOOTSCRIPT_MEM_WRITE
  1193. S3_BOOTSCRIPT_MEM_READ_WRITE
  1194. S3_BOOTSCRIPT_MEM_WRITE
  1195. S3_BOOTSCRIPT_MEM_READ_WRITE
  1196. S3_BOOTSCRIPT_MEM_WRITE
  1197. S3_BOOTSCRIPT_MEM_WRITE
  1198. S3_BOOTSCRIPT_MEM_READ_WRITE
  1199. S3_BOOTSCRIPT_MEM_WRITE
  1200. S3_BOOTSCRIPT_MEM_READ_WRITE
  1201. S3_BOOTSCRIPT_MEM_WRITE
  1202. S3_BOOTSCRIPT_MEM_WRITE
  1203. S3_BOOTSCRIPT_MEM_READ_WRITE
  1204. S3_BOOTSCRIPT_MEM_WRITE
  1205. S3_BOOTSCRIPT_MEM_READ_WRITE
  1206. S3_BOOTSCRIPT_MEM_WRITE
  1207. S3_BOOTSCRIPT_MEM_WRITE
  1208. S3_BOOTSCRIPT_MEM_READ_WRITE
  1209. S3_BOOTSCRIPT_MEM_WRITE
  1210. S3_BOOTSCRIPT_MEM_READ_WRITE
  1211. S3_BOOTSCRIPT_MEM_WRITE
  1212. S3_BOOTSCRIPT_MEM_WRITE
  1213. S3_BOOTSCRIPT_MEM_READ_WRITE
  1214. S3_BOOTSCRIPT_MEM_WRITE
  1215. S3_BOOTSCRIPT_MEM_READ_WRITE
  1216. S3_BOOTSCRIPT_MEM_WRITE
  1217. S3_BOOTSCRIPT_MEM_WRITE
  1218. S3_BOOTSCRIPT_MEM_READ_WRITE
  1219. S3_BOOTSCRIPT_MEM_WRITE
  1220. S3_BOOTSCRIPT_MEM_READ_WRITE
  1221. S3_BOOTSCRIPT_MEM_WRITE
  1222. S3_BOOTSCRIPT_MEM_WRITE
  1223. S3_BOOTSCRIPT_MEM_READ_WRITE
  1224. S3_BOOTSCRIPT_MEM_WRITE
  1225. S3_BOOTSCRIPT_MEM_READ_WRITE
  1226. S3_BOOTSCRIPT_MEM_WRITE
  1227. S3_BOOTSCRIPT_MEM_WRITE
  1228. S3_BOOTSCRIPT_MEM_READ_WRITE
  1229. S3_BOOTSCRIPT_MEM_WRITE
  1230. S3_BOOTSCRIPT_MEM_WRITE
  1231. S3_BOOTSCRIPT_MEM_WRITE
  1232. S3_BOOTSCRIPT_MEM_WRITE
  1233. S3_BOOTSCRIPT_MEM_READ_WRITE
  1234. S3_BOOTSCRIPT_MEM_WRITE
  1235. S3_BOOTSCRIPT_MEM_WRITE
  1236. S3_BOOTSCRIPT_MEM_READ_WRITE
  1237. S3_BOOTSCRIPT_MEM_WRITE
  1238. S3_BOOTSCRIPT_MEM_READ_WRITE
  1239. S3_BOOTSCRIPT_MEM_WRITE
  1240. S3_BOOTSCRIPT_MEM_WRITE
  1241. S3_BOOTSCRIPT_MEM_READ_WRITE
  1242. S3_BOOTSCRIPT_MEM_WRITE
  1243. S3_BOOTSCRIPT_MEM_READ_WRITE
  1244. S3_BOOTSCRIPT_MEM_WRITE
  1245. S3_BOOTSCRIPT_MEM_WRITE
  1246. S3_BOOTSCRIPT_MEM_READ_WRITE
  1247. S3_BOOTSCRIPT_MEM_WRITE
  1248. S3_BOOTSCRIPT_MEM_READ_WRITE
  1249. S3_BOOTSCRIPT_MEM_WRITE
  1250. S3_BOOTSCRIPT_MEM_WRITE
  1251. S3_BOOTSCRIPT_MEM_READ_WRITE
  1252. S3_BOOTSCRIPT_MEM_WRITE
  1253. S3_BOOTSCRIPT_MEM_READ_WRITE
  1254. S3_BOOTSCRIPT_MEM_WRITE
  1255. S3_BOOTSCRIPT_MEM_WRITE
  1256. S3_BOOTSCRIPT_MEM_READ_WRITE
  1257. S3_BOOTSCRIPT_MEM_WRITE
  1258. S3_BOOTSCRIPT_MEM_READ_WRITE
  1259. S3_BOOTSCRIPT_MEM_WRITE
  1260. S3_BOOTSCRIPT_MEM_WRITE
  1261. S3_BOOTSCRIPT_MEM_READ_WRITE
  1262. S3_BOOTSCRIPT_MEM_WRITE
  1263. S3_BOOTSCRIPT_MEM_READ_WRITE
  1264. S3_BOOTSCRIPT_MEM_WRITE
  1265. S3_BOOTSCRIPT_MEM_WRITE
  1266. S3_BOOTSCRIPT_MEM_READ_WRITE
  1267. S3_BOOTSCRIPT_MEM_WRITE
  1268. S3_BOOTSCRIPT_MEM_READ_WRITE
  1269. S3_BOOTSCRIPT_MEM_WRITE
  1270. S3_BOOTSCRIPT_MEM_WRITE
  1271. S3_BOOTSCRIPT_MEM_READ_WRITE
  1272. S3_BOOTSCRIPT_MEM_WRITE
  1273. S3_BOOTSCRIPT_MEM_READ_WRITE
  1274. S3_BOOTSCRIPT_MEM_WRITE
  1275. S3_BOOTSCRIPT_MEM_WRITE
  1276. S3_BOOTSCRIPT_MEM_READ_WRITE
  1277. S3_BOOTSCRIPT_MEM_WRITE
  1278. S3_BOOTSCRIPT_MEM_READ_WRITE
  1279. S3_BOOTSCRIPT_MEM_WRITE
  1280. S3_BOOTSCRIPT_MEM_WRITE
  1281. S3_BOOTSCRIPT_MEM_READ_WRITE
  1282. S3_BOOTSCRIPT_MEM_WRITE
  1283. S3_BOOTSCRIPT_MEM_READ_WRITE
  1284. S3_BOOTSCRIPT_MEM_WRITE
  1285. S3_BOOTSCRIPT_MEM_WRITE
  1286. S3_BOOTSCRIPT_MEM_READ_WRITE
  1287. S3_BOOTSCRIPT_MEM_WRITE
  1288. S3_BOOTSCRIPT_MEM_READ_WRITE
  1289. S3_BOOTSCRIPT_MEM_WRITE
  1290. S3_BOOTSCRIPT_MEM_WRITE
  1291. S3_BOOTSCRIPT_MEM_READ_WRITE
  1292. S3_BOOTSCRIPT_MEM_WRITE
  1293. S3_BOOTSCRIPT_MEM_READ_WRITE
  1294. S3_BOOTSCRIPT_MEM_WRITE
  1295. S3_BOOTSCRIPT_MEM_WRITE
  1296. S3_BOOTSCRIPT_MEM_READ_WRITE
  1297. S3_BOOTSCRIPT_MEM_WRITE
  1298. S3_BOOTSCRIPT_MEM_READ_WRITE
  1299. S3_BOOTSCRIPT_MEM_WRITE
  1300. S3_BOOTSCRIPT_MEM_WRITE
  1301. S3_BOOTSCRIPT_MEM_READ_WRITE
  1302. S3_BOOTSCRIPT_MEM_WRITE
  1303. S3_BOOTSCRIPT_MEM_READ_WRITE
  1304. S3_BOOTSCRIPT_MEM_WRITE
  1305. S3_BOOTSCRIPT_MEM_WRITE
  1306. S3_BOOTSCRIPT_MEM_READ_WRITE
  1307. S3_BOOTSCRIPT_MEM_WRITE
  1308. S3_BOOTSCRIPT_MEM_READ_WRITE
  1309. S3_BOOTSCRIPT_MEM_WRITE
  1310. S3_BOOTSCRIPT_MEM_WRITE
  1311. S3_BOOTSCRIPT_MEM_READ_WRITE
  1312. S3_BOOTSCRIPT_MEM_WRITE
  1313. S3_BOOTSCRIPT_MEM_READ_WRITE
  1314. S3_BOOTSCRIPT_MEM_WRITE
  1315. S3_BOOTSCRIPT_MEM_WRITE
  1316. S3_BOOTSCRIPT_MEM_READ_WRITE
  1317. S3_BOOTSCRIPT_MEM_WRITE
  1318. S3_BOOTSCRIPT_MEM_READ_WRITE
  1319. S3_BOOTSCRIPT_MEM_WRITE
  1320. S3_BOOTSCRIPT_MEM_WRITE
  1321. S3_BOOTSCRIPT_MEM_READ_WRITE
  1322. S3_BOOTSCRIPT_MEM_WRITE
  1323. S3_BOOTSCRIPT_MEM_WRITE
  1324. S3_BOOTSCRIPT_MEM_WRITE
  1325. S3_BOOTSCRIPT_MEM_WRITE
  1326. S3_BOOTSCRIPT_MEM_WRITE
  1327. S3_BOOTSCRIPT_MEM_WRITE
  1328. S3_BOOTSCRIPT_STALL
  1329. S3_BOOTSCRIPT_MEM_WRITE
  1330. S3_BOOTSCRIPT_MEM_WRITE
  1331. S3_BOOTSCRIPT_MEM_WRITE
  1332. S3_BOOTSCRIPT_MEM_WRITE
  1333. S3_BOOTSCRIPT_MEM_WRITE
  1334. S3_BOOTSCRIPT_MEM_WRITE
  1335. S3_BOOTSCRIPT_MEM_WRITE
  1336. S3_BOOTSCRIPT_MEM_WRITE
  1337. S3_BOOTSCRIPT_MEM_WRITE
  1338. S3_BOOTSCRIPT_MEM_WRITE
  1339. S3_BOOTSCRIPT_MEM_WRITE
  1340. S3_BOOTSCRIPT_MEM_READ_WRITE
  1341. S3_BOOTSCRIPT_MEM_WRITE
  1342. S3_BOOTSCRIPT_MEM_WRITE
  1343. S3_BOOTSCRIPT_MEM_WRITE
  1344. S3_BOOTSCRIPT_MEM_WRITE
  1345. S3_BOOTSCRIPT_MEM_WRITE
  1346. S3_BOOTSCRIPT_MEM_WRITE
  1347. S3_BOOTSCRIPT_MEM_WRITE
  1348. S3_BOOTSCRIPT_MEM_WRITE
  1349. S3_BOOTSCRIPT_MEM_READ_WRITE
  1350. S3_BOOTSCRIPT_MEM_WRITE
  1351. S3_BOOTSCRIPT_MEM_WRITE
  1352. S3_BOOTSCRIPT_MEM_READ_WRITE
  1353. S3_BOOTSCRIPT_MEM_WRITE
  1354. S3_BOOTSCRIPT_MEM_WRITE
  1355. S3_BOOTSCRIPT_MEM_WRITE
  1356. S3_BOOTSCRIPT_MEM_WRITE
  1357. S3_BOOTSCRIPT_MEM_WRITE
  1358. S3_BOOTSCRIPT_MEM_WRITE
  1359. S3_BOOTSCRIPT_MEM_WRITE
  1360. S3_BOOTSCRIPT_MEM_WRITE
  1361. S3_BOOTSCRIPT_MEM_WRITE
  1362. S3_BOOTSCRIPT_MEM_WRITE
  1363. S3_BOOTSCRIPT_MEM_WRITE
  1364. S3_BOOTSCRIPT_MEM_WRITE
  1365. S3_BOOTSCRIPT_MEM_WRITE
  1366. S3_BOOTSCRIPT_MEM_WRITE
  1367. S3_BOOTSCRIPT_MEM_WRITE
  1368. S3_BOOTSCRIPT_MEM_WRITE
  1369. S3_BOOTSCRIPT_MEM_WRITE
  1370. S3_BOOTSCRIPT_MEM_WRITE
  1371. S3_BOOTSCRIPT_MEM_WRITE
  1372. S3_BOOTSCRIPT_MEM_WRITE
  1373. S3_BOOTSCRIPT_MEM_WRITE
  1374. S3_BOOTSCRIPT_MEM_WRITE
  1375. S3_BOOTSCRIPT_MEM_WRITE
  1376. S3_BOOTSCRIPT_MEM_WRITE
  1377. S3_BOOTSCRIPT_MEM_READ_WRITE
  1378. S3_BOOTSCRIPT_MEM_WRITE
  1379. S3_BOOTSCRIPT_MEM_READ_WRITE
  1380. S3_BOOTSCRIPT_MEM_WRITE
  1381. S3_BOOTSCRIPT_MEM_WRITE
  1382. S3_BOOTSCRIPT_MEM_READ_WRITE
  1383. S3_BOOTSCRIPT_MEM_READ_WRITE
  1384. S3_BOOTSCRIPT_MEM_WRITE
  1385. S3_BOOTSCRIPT_MEM_READ_WRITE
  1386. S3_BOOTSCRIPT_MEM_WRITE
  1387. S3_BOOTSCRIPT_MEM_WRITE
  1388. S3_BOOTSCRIPT_MEM_READ_WRITE
  1389. S3_BOOTSCRIPT_MEM_READ_WRITE
  1390. S3_BOOTSCRIPT_MEM_WRITE
  1391. S3_BOOTSCRIPT_MEM_READ_WRITE
  1392. S3_BOOTSCRIPT_MEM_WRITE
  1393. S3_BOOTSCRIPT_MEM_WRITE
  1394. S3_BOOTSCRIPT_MEM_READ_WRITE
  1395. S3_BOOTSCRIPT_MEM_READ_WRITE
  1396. S3_BOOTSCRIPT_MEM_WRITE
  1397. S3_BOOTSCRIPT_MEM_READ_WRITE
  1398. S3_BOOTSCRIPT_MEM_WRITE
  1399. S3_BOOTSCRIPT_MEM_WRITE
  1400. S3_BOOTSCRIPT_MEM_READ_WRITE
  1401. S3_BOOTSCRIPT_MEM_READ_WRITE
  1402. S3_BOOTSCRIPT_MEM_WRITE
  1403. S3_BOOTSCRIPT_MEM_READ_WRITE
  1404. S3_BOOTSCRIPT_MEM_WRITE
  1405. S3_BOOTSCRIPT_MEM_WRITE
  1406. S3_BOOTSCRIPT_MEM_READ_WRITE
  1407. S3_BOOTSCRIPT_MEM_READ_WRITE
  1408. S3_BOOTSCRIPT_MEM_WRITE
  1409. S3_BOOTSCRIPT_MEM_READ_WRITE
  1410. S3_BOOTSCRIPT_MEM_WRITE
  1411. S3_BOOTSCRIPT_MEM_WRITE
  1412. S3_BOOTSCRIPT_MEM_READ_WRITE
  1413. S3_BOOTSCRIPT_MEM_READ_WRITE
  1414. S3_BOOTSCRIPT_MEM_READ_WRITE
  1415. S3_BOOTSCRIPT_MEM_READ_WRITE
  1416. S3_BOOTSCRIPT_MEM_READ_WRITE
  1417. S3_BOOTSCRIPT_MEM_READ_WRITE
  1418. S3_BOOTSCRIPT_MEM_READ_WRITE
  1419. S3_BOOTSCRIPT_MEM_READ_WRITE
  1420. S3_BOOTSCRIPT_MEM_READ_WRITE
  1421. S3_BOOTSCRIPT_MEM_WRITE
  1422. S3_BOOTSCRIPT_MEM_READ_WRITE
  1423. S3_BOOTSCRIPT_MEM_WRITE
  1424. S3_BOOTSCRIPT_MEM_WRITE
  1425. S3_BOOTSCRIPT_MEM_READ_WRITE
  1426. S3_BOOTSCRIPT_MEM_READ_WRITE
  1427. S3_BOOTSCRIPT_MEM_READ_WRITE
  1428. S3_BOOTSCRIPT_MEM_READ_WRITE
  1429. S3_BOOTSCRIPT_MEM_READ_WRITE
  1430. S3_BOOTSCRIPT_MEM_WRITE
  1431. S3_BOOTSCRIPT_MEM_READ_WRITE
  1432. S3_BOOTSCRIPT_MEM_WRITE
  1433. S3_BOOTSCRIPT_MEM_WRITE
  1434. S3_BOOTSCRIPT_MEM_READ_WRITE
  1435. S3_BOOTSCRIPT_MEM_WRITE
  1436. S3_BOOTSCRIPT_MEM_READ_WRITE
  1437. S3_BOOTSCRIPT_MEM_WRITE
  1438. S3_BOOTSCRIPT_MEM_WRITE
  1439. S3_BOOTSCRIPT_MEM_READ_WRITE
  1440. S3_BOOTSCRIPT_MEM_WRITE
  1441. S3_BOOTSCRIPT_MEM_READ_WRITE
  1442. S3_BOOTSCRIPT_MEM_WRITE
  1443. S3_BOOTSCRIPT_MEM_WRITE
  1444. S3_BOOTSCRIPT_MEM_READ_WRITE
  1445. S3_BOOTSCRIPT_MEM_WRITE
  1446. S3_BOOTSCRIPT_MEM_READ_WRITE
  1447. S3_BOOTSCRIPT_MEM_WRITE
  1448. S3_BOOTSCRIPT_MEM_WRITE
  1449. S3_BOOTSCRIPT_MEM_READ_WRITE
  1450. S3_BOOTSCRIPT_MEM_WRITE
  1451. S3_BOOTSCRIPT_MEM_READ_WRITE
  1452. S3_BOOTSCRIPT_MEM_WRITE
  1453. S3_BOOTSCRIPT_MEM_WRITE
  1454. S3_BOOTSCRIPT_MEM_READ_WRITE
  1455. S3_BOOTSCRIPT_MEM_WRITE
  1456. S3_BOOTSCRIPT_MEM_READ_WRITE
  1457. S3_BOOTSCRIPT_MEM_WRITE
  1458. S3_BOOTSCRIPT_MEM_WRITE
  1459. S3_BOOTSCRIPT_MEM_READ_WRITE
  1460. S3_BOOTSCRIPT_MEM_WRITE
  1461. S3_BOOTSCRIPT_MEM_READ_WRITE
  1462. S3_BOOTSCRIPT_MEM_WRITE
  1463. S3_BOOTSCRIPT_MEM_WRITE
  1464. S3_BOOTSCRIPT_MEM_READ_WRITE
  1465. S3_BOOTSCRIPT_MEM_WRITE
  1466. S3_BOOTSCRIPT_MEM_READ_WRITE
  1467. S3_BOOTSCRIPT_MEM_WRITE
  1468. S3_BOOTSCRIPT_MEM_WRITE
  1469. S3_BOOTSCRIPT_MEM_READ_WRITE
  1470. S3_BOOTSCRIPT_MEM_WRITE
  1471. S3_BOOTSCRIPT_MEM_READ_WRITE
  1472. S3_BOOTSCRIPT_MEM_WRITE
  1473. S3_BOOTSCRIPT_MEM_WRITE
  1474. S3_BOOTSCRIPT_MEM_READ_WRITE
  1475. S3_BOOTSCRIPT_MEM_WRITE
  1476. S3_BOOTSCRIPT_MEM_READ_WRITE
  1477. S3_BOOTSCRIPT_MEM_WRITE
  1478. S3_BOOTSCRIPT_MEM_WRITE
  1479. S3_BOOTSCRIPT_MEM_READ_WRITE
  1480. S3_BOOTSCRIPT_MEM_WRITE
  1481. S3_BOOTSCRIPT_MEM_READ_WRITE
  1482. S3_BOOTSCRIPT_MEM_WRITE
  1483. S3_BOOTSCRIPT_MEM_WRITE
  1484. S3_BOOTSCRIPT_MEM_READ_WRITE
  1485. S3_BOOTSCRIPT_MEM_WRITE
  1486. S3_BOOTSCRIPT_MEM_READ_WRITE
  1487. S3_BOOTSCRIPT_MEM_WRITE
  1488. S3_BOOTSCRIPT_MEM_WRITE
  1489. S3_BOOTSCRIPT_MEM_READ_WRITE
  1490. S3_BOOTSCRIPT_MEM_WRITE
  1491. S3_BOOTSCRIPT_MEM_READ_WRITE
  1492. S3_BOOTSCRIPT_MEM_WRITE
  1493. S3_BOOTSCRIPT_MEM_WRITE
  1494. S3_BOOTSCRIPT_MEM_READ_WRITE
  1495. S3_BOOTSCRIPT_MEM_WRITE
  1496. S3_BOOTSCRIPT_MEM_READ_WRITE
  1497. S3_BOOTSCRIPT_MEM_WRITE
  1498. S3_BOOTSCRIPT_MEM_WRITE
  1499. S3_BOOTSCRIPT_MEM_READ_WRITE
  1500. S3_BOOTSCRIPT_MEM_WRITE
  1501. S3_BOOTSCRIPT_MEM_READ_WRITE
  1502. S3_BOOTSCRIPT_MEM_WRITE
  1503. S3_BOOTSCRIPT_MEM_WRITE
  1504. S3_BOOTSCRIPT_MEM_READ_WRITE
  1505. S3_BOOTSCRIPT_MEM_WRITE
  1506. S3_BOOTSCRIPT_MEM_READ_WRITE
  1507. S3_BOOTSCRIPT_MEM_WRITE
  1508. S3_BOOTSCRIPT_MEM_WRITE
  1509. S3_BOOTSCRIPT_MEM_READ_WRITE
  1510. S3_BOOTSCRIPT_MEM_WRITE
  1511. S3_BOOTSCRIPT_MEM_READ_WRITE
  1512. S3_BOOTSCRIPT_MEM_WRITE
  1513. S3_BOOTSCRIPT_MEM_WRITE
  1514. S3_BOOTSCRIPT_MEM_READ_WRITE
  1515. S3_BOOTSCRIPT_MEM_WRITE
  1516. S3_BOOTSCRIPT_MEM_READ_WRITE
  1517. S3_BOOTSCRIPT_MEM_WRITE
  1518. S3_BOOTSCRIPT_MEM_WRITE
  1519. S3_BOOTSCRIPT_MEM_READ_WRITE
  1520. S3_BOOTSCRIPT_MEM_WRITE
  1521. S3_BOOTSCRIPT_MEM_READ_WRITE
  1522. S3_BOOTSCRIPT_MEM_WRITE
  1523. S3_BOOTSCRIPT_MEM_WRITE
  1524. S3_BOOTSCRIPT_MEM_READ_WRITE
  1525. S3_BOOTSCRIPT_MEM_WRITE
  1526. S3_BOOTSCRIPT_MEM_READ_WRITE
  1527. S3_BOOTSCRIPT_MEM_WRITE
  1528. S3_BOOTSCRIPT_MEM_WRITE
  1529. S3_BOOTSCRIPT_MEM_READ_WRITE
  1530. S3_BOOTSCRIPT_MEM_WRITE
  1531. S3_BOOTSCRIPT_MEM_READ_WRITE
  1532. S3_BOOTSCRIPT_MEM_WRITE
  1533. S3_BOOTSCRIPT_MEM_WRITE
  1534. S3_BOOTSCRIPT_MEM_READ_WRITE
  1535. S3_BOOTSCRIPT_MEM_WRITE
  1536. S3_BOOTSCRIPT_MEM_READ_WRITE
  1537. S3_BOOTSCRIPT_MEM_WRITE
  1538. S3_BOOTSCRIPT_MEM_WRITE
  1539. S3_BOOTSCRIPT_MEM_READ_WRITE
  1540. S3_BOOTSCRIPT_MEM_WRITE
  1541. S3_BOOTSCRIPT_MEM_READ_WRITE
  1542. S3_BOOTSCRIPT_MEM_WRITE
  1543. S3_BOOTSCRIPT_MEM_WRITE
  1544. S3_BOOTSCRIPT_MEM_READ_WRITE
  1545. S3_BOOTSCRIPT_MEM_WRITE
  1546. S3_BOOTSCRIPT_MEM_READ_WRITE
  1547. S3_BOOTSCRIPT_MEM_WRITE
  1548. S3_BOOTSCRIPT_MEM_WRITE
  1549. S3_BOOTSCRIPT_MEM_READ_WRITE
  1550. S3_BOOTSCRIPT_MEM_WRITE
  1551. S3_BOOTSCRIPT_MEM_READ_WRITE
  1552. S3_BOOTSCRIPT_MEM_WRITE
  1553. S3_BOOTSCRIPT_MEM_WRITE
  1554. S3_BOOTSCRIPT_MEM_READ_WRITE
  1555. S3_BOOTSCRIPT_MEM_WRITE
  1556. S3_BOOTSCRIPT_MEM_READ_WRITE
  1557. S3_BOOTSCRIPT_MEM_WRITE
  1558. S3_BOOTSCRIPT_MEM_WRITE
  1559. S3_BOOTSCRIPT_MEM_READ_WRITE
  1560. S3_BOOTSCRIPT_MEM_WRITE
  1561. S3_BOOTSCRIPT_MEM_WRITE
  1562. S3_BOOTSCRIPT_MEM_WRITE
  1563. S3_BOOTSCRIPT_MEM_WRITE
  1564. S3_BOOTSCRIPT_MEM_WRITE
  1565. S3_BOOTSCRIPT_MEM_WRITE
  1566. S3_BOOTSCRIPT_MEM_WRITE
  1567. S3_BOOTSCRIPT_MEM_WRITE
  1568. S3_BOOTSCRIPT_MEM_WRITE
  1569. S3_BOOTSCRIPT_MEM_WRITE
  1570. S3_BOOTSCRIPT_MEM_WRITE
  1571. S3_BOOTSCRIPT_MEM_WRITE
  1572. S3_BOOTSCRIPT_MEM_WRITE
  1573. S3_BOOTSCRIPT_MEM_WRITE
  1574. S3_BOOTSCRIPT_MEM_WRITE
  1575. S3_BOOTSCRIPT_MEM_WRITE
  1576. S3_BOOTSCRIPT_MEM_WRITE
  1577. S3_BOOTSCRIPT_MEM_WRITE
  1578. S3_BOOTSCRIPT_MEM_WRITE
  1579. S3_BOOTSCRIPT_MEM_WRITE
  1580. S3_BOOTSCRIPT_MEM_WRITE
  1581. S3_BOOTSCRIPT_MEM_WRITE
  1582. S3_BOOTSCRIPT_MEM_WRITE
  1583. S3_BOOTSCRIPT_MEM_WRITE
  1584. S3_BOOTSCRIPT_MEM_WRITE
  1585. S3_BOOTSCRIPT_MEM_WRITE
  1586. S3_BOOTSCRIPT_MEM_WRITE
  1587. S3_BOOTSCRIPT_MEM_WRITE
  1588. S3_BOOTSCRIPT_MEM_WRITE
  1589. S3_BOOTSCRIPT_MEM_WRITE
  1590. S3_BOOTSCRIPT_MEM_WRITE
  1591. S3_BOOTSCRIPT_MEM_WRITE
  1592. S3_BOOTSCRIPT_MEM_WRITE
  1593. S3_BOOTSCRIPT_MEM_WRITE
  1594. S3_BOOTSCRIPT_MEM_WRITE
  1595. S3_BOOTSCRIPT_MEM_WRITE
  1596. S3_BOOTSCRIPT_MEM_WRITE
  1597. S3_BOOTSCRIPT_IO_WRITE
  1598. S3_BOOTSCRIPT_MEM_READ_WRITE
  1599. S3_BOOTSCRIPT_MEM_READ_WRITE
  1600. S3_BOOTSCRIPT_MEM_READ_WRITE
  1601. S3_BOOTSCRIPT_MEM_READ_WRITE
  1602. S3_BOOTSCRIPT_MEM_WRITE
  1603. S3_BOOTSCRIPT_MEM_WRITE
  1604. S3_BOOTSCRIPT_MEM_READ_WRITE
  1605. S3_BOOTSCRIPT_MEM_READ_WRITE
  1606. S3_BOOTSCRIPT_MEM_WRITE
  1607. S3_BOOTSCRIPT_MEM_WRITE
  1608. S3_BOOTSCRIPT_MEM_READ_WRITE
  1609. S3_BOOTSCRIPT_MEM_READ_WRITE
  1610. S3_BOOTSCRIPT_MEM_WRITE
  1611. S3_BOOTSCRIPT_MEM_WRITE
  1612. S3_BOOTSCRIPT_MEM_WRITE
  1613. S3_BOOTSCRIPT_MEM_WRITE
  1614. S3_BOOTSCRIPT_MEM_READ_WRITE
  1615. S3_BOOTSCRIPT_MEM_READ_WRITE
  1616. S3_BOOTSCRIPT_MEM_READ_WRITE
  1617. S3_BOOTSCRIPT_MEM_WRITE
  1618. S3_BOOTSCRIPT_MEM_WRITE
  1619. S3_BOOTSCRIPT_MEM_WRITE
  1620. S3_BOOTSCRIPT_MEM_WRITE
  1621. S3_BOOTSCRIPT_MEM_WRITE
  1622. S3_BOOTSCRIPT_MEM_WRITE
  1623. S3_BOOTSCRIPT_MEM_WRITE
  1624. S3_BOOTSCRIPT_MEM_WRITE
  1625. S3_BOOTSCRIPT_MEM_WRITE
  1626. S3_BOOTSCRIPT_MEM_WRITE
  1627. S3_BOOTSCRIPT_MEM_WRITE
  1628. S3_BOOTSCRIPT_MEM_WRITE
  1629. S3_BOOTSCRIPT_MEM_READ_WRITE
  1630. S3_BOOTSCRIPT_MEM_WRITE
  1631. S3_BOOTSCRIPT_MEM_WRITE
  1632. S3_BOOTSCRIPT_MEM_WRITE
  1633. S3_BOOTSCRIPT_MEM_WRITE
  1634. S3_BOOTSCRIPT_MEM_WRITE
  1635. S3_BOOTSCRIPT_MEM_WRITE
  1636. S3_BOOTSCRIPT_MEM_WRITE
  1637. S3_BOOTSCRIPT_MEM_WRITE
  1638. S3_BOOTSCRIPT_MEM_WRITE
  1639. S3_BOOTSCRIPT_MEM_WRITE
  1640. S3_BOOTSCRIPT_MEM_WRITE
  1641. S3_BOOTSCRIPT_MEM_WRITE
  1642. S3_BOOTSCRIPT_MEM_WRITE
  1643. S3_BOOTSCRIPT_MEM_WRITE
  1644. S3_BOOTSCRIPT_MEM_WRITE
  1645. S3_BOOTSCRIPT_MEM_WRITE
  1646. S3_BOOTSCRIPT_MEM_WRITE
  1647. S3_BOOTSCRIPT_MEM_READ_WRITE
  1648. S3_BOOTSCRIPT_MEM_READ_WRITE
  1649. S3_BOOTSCRIPT_MEM_READ_WRITE
  1650. S3_BOOTSCRIPT_MEM_READ_WRITE
  1651. S3_BOOTSCRIPT_MEM_READ_WRITE
  1652. S3_BOOTSCRIPT_MEM_READ_WRITE
  1653. S3_BOOTSCRIPT_MEM_READ_WRITE
  1654. S3_BOOTSCRIPT_MEM_READ_WRITE
  1655. S3_BOOTSCRIPT_MEM_READ_WRITE
  1656. S3_BOOTSCRIPT_MEM_READ_WRITE
  1657. S3_BOOTSCRIPT_MEM_READ_WRITE
  1658. S3_BOOTSCRIPT_MEM_READ_WRITE
  1659. S3_BOOTSCRIPT_MEM_READ_WRITE
  1660. S3_BOOTSCRIPT_MEM_READ_WRITE
  1661. S3_BOOTSCRIPT_MEM_READ_WRITE
  1662. S3_BOOTSCRIPT_MEM_READ_WRITE
  1663. S3_BOOTSCRIPT_MEM_READ_WRITE
  1664. S3_BOOTSCRIPT_MEM_READ_WRITE
  1665. S3_BOOTSCRIPT_MEM_READ_WRITE
  1666. S3_BOOTSCRIPT_MEM_WRITE
  1667. S3_BOOTSCRIPT_MEM_WRITE
  1668. S3_BOOTSCRIPT_MEM_WRITE
  1669. S3_BOOTSCRIPT_MEM_WRITE
  1670. S3_BOOTSCRIPT_MEM_WRITE
  1671. S3_BOOTSCRIPT_MEM_READ_WRITE
  1672. S3_BOOTSCRIPT_MEM_READ_WRITE
  1673. S3_BOOTSCRIPT_MEM_READ_WRITE
  1674. S3_BOOTSCRIPT_MEM_WRITE
  1675. S3_BOOTSCRIPT_MEM_READ_WRITE
  1676. S3_BOOTSCRIPT_MEM_WRITE
  1677. S3_BOOTSCRIPT_MEM_WRITE
  1678. S3_BOOTSCRIPT_MEM_WRITE
  1679. S3_BOOTSCRIPT_MEM_READ_WRITE
  1680. S3_BOOTSCRIPT_MEM_WRITE
  1681. S3_BOOTSCRIPT_MEM_WRITE
  1682. S3_BOOTSCRIPT_MEM_WRITE
  1683. S3_BOOTSCRIPT_MEM_READ_WRITE
  1684. S3_BOOTSCRIPT_MEM_READ_WRITE
  1685. S3_BOOTSCRIPT_MEM_READ_WRITE
  1686. S3_BOOTSCRIPT_MEM_WRITE
  1687. S3_BOOTSCRIPT_MEM_WRITE
  1688. S3_BOOTSCRIPT_MEM_WRITE
  1689. S3_BOOTSCRIPT_MEM_WRITE
  1690. S3_BOOTSCRIPT_MEM_WRITE
  1691. S3_BOOTSCRIPT_MEM_WRITE
  1692. S3_BOOTSCRIPT_MEM_WRITE
  1693. S3_BOOTSCRIPT_MEM_READ_WRITE
  1694. S3_BOOTSCRIPT_MEM_READ_WRITE
  1695. S3_BOOTSCRIPT_MEM_READ_WRITE
  1696. S3_BOOTSCRIPT_MEM_WRITE
  1697. S3_BOOTSCRIPT_MEM_WRITE
  1698. S3_BOOTSCRIPT_MEM_READ_WRITE
  1699. S3_BOOTSCRIPT_MEM_READ_WRITE
  1700. S3_BOOTSCRIPT_STALL
  1701. S3_BOOTSCRIPT_MEM_READ_WRITE
  1702. S3_BOOTSCRIPT_MEM_WRITE
  1703. S3_BOOTSCRIPT_MEM_READ_WRITE
  1704. S3_BOOTSCRIPT_MEM_READ_WRITE
  1705. S3_BOOTSCRIPT_MEM_WRITE
  1706. S3_BOOTSCRIPT_MEM_READ_WRITE
  1707. S3_BOOTSCRIPT_MEM_READ_WRITE
  1708. S3_BOOTSCRIPT_MEM_WRITE
  1709. S3_BOOTSCRIPT_MEM_READ_WRITE
  1710. S3_BOOTSCRIPT_MEM_READ_WRITE
  1711. S3_BOOTSCRIPT_MEM_WRITE
  1712. S3_BOOTSCRIPT_MEM_READ_WRITE
  1713. S3_BOOTSCRIPT_MEM_READ_WRITE
  1714. S3_BOOTSCRIPT_MEM_WRITE
  1715. S3_BOOTSCRIPT_MEM_READ_WRITE
  1716. S3_BOOTSCRIPT_MEM_READ_WRITE
  1717. S3_BOOTSCRIPT_MEM_WRITE
  1718. S3_BOOTSCRIPT_MEM_READ_WRITE
  1719. S3_BOOTSCRIPT_MEM_READ_WRITE
  1720. S3_BOOTSCRIPT_MEM_WRITE
  1721. S3_BOOTSCRIPT_MEM_READ_WRITE
  1722. S3_BOOTSCRIPT_MEM_READ_WRITE
  1723. S3_BOOTSCRIPT_MEM_WRITE
  1724. S3_BOOTSCRIPT_MEM_READ_WRITE
  1725. S3_BOOTSCRIPT_MEM_READ_WRITE
  1726. S3_BOOTSCRIPT_MEM_WRITE
  1727. S3_BOOTSCRIPT_MEM_READ_WRITE
  1728. S3_BOOTSCRIPT_MEM_READ_WRITE
  1729. S3_BOOTSCRIPT_MEM_WRITE
  1730. S3_BOOTSCRIPT_MEM_READ_WRITE
  1731. S3_BOOTSCRIPT_MEM_READ_WRITE
  1732. S3_BOOTSCRIPT_MEM_WRITE
  1733. S3_BOOTSCRIPT_MEM_READ_WRITE
  1734. S3_BOOTSCRIPT_MEM_READ_WRITE
  1735. S3_BOOTSCRIPT_MEM_WRITE
  1736. S3_BOOTSCRIPT_MEM_READ_WRITE
  1737. S3_BOOTSCRIPT_MEM_READ_WRITE
  1738. S3_BOOTSCRIPT_MEM_WRITE
  1739. S3_BOOTSCRIPT_MEM_READ_WRITE
  1740. S3_BOOTSCRIPT_MEM_READ_WRITE
  1741. S3_BOOTSCRIPT_MEM_WRITE
  1742. S3_BOOTSCRIPT_MEM_READ_WRITE
  1743. S3_BOOTSCRIPT_MEM_WRITE
  1744. S3_BOOTSCRIPT_MEM_WRITE
  1745. S3_BOOTSCRIPT_MEM_WRITE
  1746. S3_BOOTSCRIPT_MEM_WRITE
  1747. S3_BOOTSCRIPT_MEM_WRITE
  1748. S3_BOOTSCRIPT_MEM_WRITE
  1749. S3_BOOTSCRIPT_MEM_WRITE
  1750. S3_BOOTSCRIPT_MEM_WRITE
  1751. S3_BOOTSCRIPT_MEM_WRITE
  1752. S3_BOOTSCRIPT_MEM_WRITE
  1753. S3_BOOTSCRIPT_MEM_WRITE
  1754. S3_BOOTSCRIPT_MEM_WRITE
  1755. S3_BOOTSCRIPT_MEM_WRITE
  1756. S3_BOOTSCRIPT_MEM_WRITE
  1757. S3_BOOTSCRIPT_MEM_READ_WRITE
  1758. S3_BOOTSCRIPT_MEM_WRITE
  1759. S3_BOOTSCRIPT_MEM_WRITE
  1760. S3_BOOTSCRIPT_MEM_WRITE
  1761. S3_BOOTSCRIPT_MEM_WRITE
  1762. S3_BOOTSCRIPT_MEM_WRITE
  1763. S3_BOOTSCRIPT_MEM_WRITE
  1764. S3_BOOTSCRIPT_MEM_WRITE
  1765. S3_BOOTSCRIPT_MEM_WRITE
  1766. S3_BOOTSCRIPT_MEM_READ_WRITE
  1767. S3_BOOTSCRIPT_MEM_WRITE
  1768. S3_BOOTSCRIPT_MEM_WRITE
  1769. S3_BOOTSCRIPT_MEM_WRITE
  1770. S3_BOOTSCRIPT_MEM_WRITE
  1771. S3_BOOTSCRIPT_MEM_WRITE
  1772. S3_BOOTSCRIPT_MEM_WRITE
  1773. S3_BOOTSCRIPT_MEM_WRITE
  1774. S3_BOOTSCRIPT_MEM_READ_WRITE
  1775. S3_BOOTSCRIPT_MEM_WRITE
  1776. S3_BOOTSCRIPT_MEM_WRITE
  1777. S3_BOOTSCRIPT_MEM_WRITE
  1778. S3_BOOTSCRIPT_MEM_WRITE
  1779. S3_BOOTSCRIPT_MEM_WRITE
  1780. S3_BOOTSCRIPT_MEM_WRITE
  1781. S3_BOOTSCRIPT_MEM_WRITE
  1782. S3_BOOTSCRIPT_MEM_WRITE
  1783. S3_BOOTSCRIPT_MEM_WRITE
  1784. S3_BOOTSCRIPT_MEM_WRITE
  1785. S3_BOOTSCRIPT_MEM_WRITE
  1786. S3_BOOTSCRIPT_MEM_WRITE
  1787. S3_BOOTSCRIPT_MEM_WRITE
  1788. S3_BOOTSCRIPT_MEM_WRITE
  1789. S3_BOOTSCRIPT_MEM_WRITE
  1790. S3_BOOTSCRIPT_MEM_WRITE
  1791. S3_BOOTSCRIPT_MEM_WRITE
  1792. S3_BOOTSCRIPT_MEM_WRITE
  1793. S3_BOOTSCRIPT_MEM_WRITE
  1794. S3_BOOTSCRIPT_MEM_WRITE
  1795. S3_BOOTSCRIPT_MEM_WRITE
  1796. S3_BOOTSCRIPT_MEM_WRITE
  1797. S3_BOOTSCRIPT_MEM_WRITE
  1798. S3_BOOTSCRIPT_MEM_WRITE
  1799. S3_BOOTSCRIPT_MEM_WRITE
  1800. S3_BOOTSCRIPT_MEM_WRITE
  1801. S3_BOOTSCRIPT_MEM_WRITE
  1802. S3_BOOTSCRIPT_MEM_WRITE
  1803. S3_BOOTSCRIPT_MEM_WRITE
  1804. S3_BOOTSCRIPT_MEM_WRITE
  1805. S3_BOOTSCRIPT_MEM_WRITE
  1806. S3_BOOTSCRIPT_MEM_WRITE
  1807. S3_BOOTSCRIPT_MEM_WRITE
  1808. S3_BOOTSCRIPT_MEM_WRITE
  1809. S3_BOOTSCRIPT_MEM_WRITE
  1810. S3_BOOTSCRIPT_MEM_WRITE
  1811. S3_BOOTSCRIPT_MEM_WRITE
  1812. S3_BOOTSCRIPT_MEM_WRITE
  1813. S3_BOOTSCRIPT_MEM_WRITE
  1814. S3_BOOTSCRIPT_MEM_WRITE
  1815. S3_BOOTSCRIPT_MEM_WRITE
  1816. S3_BOOTSCRIPT_MEM_WRITE
  1817. S3_BOOTSCRIPT_MEM_WRITE
  1818. S3_BOOTSCRIPT_MEM_WRITE
  1819. S3_BOOTSCRIPT_MEM_WRITE
  1820. S3_BOOTSCRIPT_MEM_WRITE
  1821. S3_BOOTSCRIPT_MEM_WRITE
  1822. S3_BOOTSCRIPT_MEM_WRITE
  1823. S3_BOOTSCRIPT_MEM_WRITE
  1824. S3_BOOTSCRIPT_MEM_WRITE
  1825. S3_BOOTSCRIPT_MEM_WRITE
  1826. S3_BOOTSCRIPT_MEM_WRITE
  1827. S3_BOOTSCRIPT_MEM_WRITE
  1828. S3_BOOTSCRIPT_MEM_WRITE
  1829. S3_BOOTSCRIPT_MEM_WRITE
  1830. S3_BOOTSCRIPT_MEM_WRITE
  1831. S3_BOOTSCRIPT_MEM_WRITE
  1832. S3_BOOTSCRIPT_MEM_WRITE
  1833. S3_BOOTSCRIPT_MEM_WRITE
  1834. S3_BOOTSCRIPT_MEM_WRITE
  1835. S3_BOOTSCRIPT_IO_WRITE
  1836. S3_BOOTSCRIPT_MEM_WRITE
  1837. S3_BOOTSCRIPT_MEM_WRITE
  1838. S3_BOOTSCRIPT_MEM_WRITE
  1839. S3_BOOTSCRIPT_IO_READ_WRITE
  1840. S3_BOOTSCRIPT_MEM_WRITE
  1841. S3_BOOTSCRIPT_MEM_WRITE
  1842. S3_BOOTSCRIPT_MEM_WRITE
  1843. S3_BOOTSCRIPT_MEM_WRITE
  1844. S3_BOOTSCRIPT_MEM_WRITE
  1845. S3_BOOTSCRIPT_MEM_WRITE
  1846. S3_BOOTSCRIPT_MEM_WRITE
  1847. S3_BOOTSCRIPT_MEM_WRITE
  1848. S3_BOOTSCRIPT_MEM_WRITE
  1849. S3_BOOTSCRIPT_MEM_WRITE
  1850. S3_BOOTSCRIPT_MEM_WRITE
  1851. S3_BOOTSCRIPT_MEM_WRITE
  1852. S3_BOOTSCRIPT_MEM_WRITE
  1853. S3_BOOTSCRIPT_MEM_WRITE
  1854. S3_BOOTSCRIPT_MEM_WRITE
  1855. S3_BOOTSCRIPT_MEM_WRITE
  1856. S3_BOOTSCRIPT_MEM_WRITE
  1857. S3_BOOTSCRIPT_MEM_WRITE
  1858. S3_BOOTSCRIPT_MEM_WRITE
  1859. S3_BOOTSCRIPT_MEM_WRITE
  1860. S3_BOOTSCRIPT_MEM_READ_WRITE
  1861. S3_BOOTSCRIPT_MEM_READ_WRITE
  1862. S3_BOOTSCRIPT_MEM_READ_WRITE
  1863. S3_BOOTSCRIPT_MEM_READ_WRITE
  1864. S3_BOOTSCRIPT_MEM_READ_WRITE
  1865. S3_BOOTSCRIPT_MEM_READ_WRITE
  1866. S3_BOOTSCRIPT_MEM_READ_WRITE
  1867. S3_BOOTSCRIPT_MEM_READ_WRITE
  1868. S3_BOOTSCRIPT_MEM_READ_WRITE
  1869. S3_BOOTSCRIPT_MEM_READ_WRITE
  1870. S3_BOOTSCRIPT_MEM_READ_WRITE
  1871. S3_BOOTSCRIPT_MEM_READ_WRITE
  1872. S3_BOOTSCRIPT_MEM_READ_WRITE
  1873. S3_BOOTSCRIPT_MEM_READ_WRITE
  1874. S3_BOOTSCRIPT_MEM_READ_WRITE
  1875. S3_BOOTSCRIPT_MEM_READ_WRITE
  1876. S3_BOOTSCRIPT_MEM_READ_WRITE
  1877. S3_BOOTSCRIPT_MEM_READ_WRITE
  1878. S3_BOOTSCRIPT_MEM_READ_WRITE
  1879. S3_BOOTSCRIPT_MEM_READ_WRITE
  1880. S3_BOOTSCRIPT_MEM_READ_WRITE
  1881. S3_BOOTSCRIPT_MEM_READ_WRITE
  1882. S3_BOOTSCRIPT_MEM_READ_WRITE
  1883. S3_BOOTSCRIPT_MEM_READ_WRITE
  1884. S3_BOOTSCRIPT_MEM_WRITE
  1885. S3_BOOTSCRIPT_MEM_WRITE
  1886. S3_BOOTSCRIPT_MEM_WRITE
  1887. S3_BOOTSCRIPT_DISPATCH
  1888. S3_BOOTSCRIPT_TERMINATE
  1889. [uefi] S3 Resume Boot-Script size: 0x7475
  1890.  
  1891. [uefi] [++++++++++ S3 Resume Boot-Script Buffer ++++++++++]
  1892. aa 00 0d 00 00 75 74 00 00 0c 00 00 00 00 00 14 | ut
  1893. 00 00 00 00 01 00 00 00 43 00 00 00 00 00 00 00 | C
  1894. 54 00 00 14 00 00 00 00 01 00 00 00 41 00 00 00 | T A
  1895. 00 00 00 00 12 03 00 11 00 00 00 00 fe f1 d1 fe |
  1896. 00 00 00 00 00 00 04 00 14 00 00 00 00 01 00 00 |
  1897. 00 d8 00 1f 00 00 00 00 00 c0 03 00 17 02 00 00 |
  1898. 00 00 f1 d1 fe 00 00 00 00 00 32 24 03 00 00 00 | 2$
  1899. 00 03 00 17 02 00 00 00 08 f1 d1 fe 00 00 00 00 |
  1900. 21 43 01 00 00 00 00 00 03 00 17 02 00 00 00 0c | !C
  1901. f1 d1 fe 00 00 00 00 21 43 21 00 00 00 00 00 03 | !C!
  1902. 00 17 02 00 00 00 10 f1 d1 fe 00 00 00 00 01 00 |
  1903. 00 00 00 00 00 00 03 00 17 02 00 00 00 14 f1 d1 |
  1904. fe 00 00 00 00 21 03 00 40 00 00 00 00 03 00 17 | ! @
  1905. 02 00 00 00 18 f1 d1 fe 00 00 00 00 01 00 00 00 |
  1906. 00 00 00 00 03 00 13 01 00 00 00 40 f1 d1 fe 00 | @
  1907. 00 00 00 30 02 00 00 03 00 13 01 00 00 00 44 f1 | 0 D
  1908. d1 fe 00 00 00 00 36 20 00 00 03 00 13 01 00 00 | 6
  1909. 00 46 f1 d1 fe 00 00 00 00 10 32 00 00 03 00 13 | F 2
  1910. 01 00 00 00 48 f1 d1 fe 00 00 00 00 16 32 00 00 | H 2
  1911. 03 00 13 01 00 00 00 4c f1 d1 fe 00 00 00 00 57 | L W
  1912. 32 00 00 03 00 13 01 00 00 00 50 f1 d1 fe 00 00 | 2 P
  1913. 00 00 54 76 00 00 03 00 13 01 00 00 00 58 f1 d1 | Tv X
  1914. fe 00 00 00 00 06 00 00 00 03 00 13 01 00 00 00 |
  1915. 64 f1 d1 fe 00 00 00 00 54 55 00 00 03 00 13 01 | d TU
  1916. 00 00 00 68 f1 d1 fe 00 00 00 00 00 00 00 00 03 | h
  1917. 00 17 02 00 00 00 10 f3 d1 fe 00 00 00 00 07 00 |
  1918. 00 00 ff ff ff ff 02 00 17 02 00 00 00 01 00 00 |
  1919. 00 c4 f3 d1 fe 00 00 00 00 02 03 05 00 02 00 17 |
  1920. 02 00 00 00 01 00 00 00 cc f3 d1 fe 00 00 00 00 |
  1921. 07 09 0b 0d 02 00 17 02 00 00 00 01 00 00 00 c4 |
  1922. f3 d1 fe 00 00 00 00 02 03 05 80 02 00 14 00 00 |
  1923. 00 00 01 00 00 00 a0 a0 0f e0 00 00 00 00 70 02 | p
  1924. 00 17 02 00 00 00 01 00 00 00 a4 a0 0f e0 00 00 |
  1925. 00 00 1f bf 00 3f 02 00 14 00 00 00 00 01 00 00 | ?
  1926. 00 a0 a0 0f e0 00 00 00 00 54 02 00 17 02 00 00 | T
  1927. 00 01 00 00 00 a4 a0 0f e0 00 00 00 00 0f 0f 00 |
  1928. cf 02 00 14 00 00 00 00 01 00 00 00 a0 a0 0f e0 |
  1929. 00 00 00 00 58 02 00 17 02 00 00 00 01 00 00 00 | X
  1930. a4 a0 0f e0 00 00 00 00 00 00 19 00 02 00 17 02 |
  1931. 00 00 00 01 00 00 00 84 fa d1 fe 00 00 00 00 05 |
  1932. 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 | / 8
  1933. 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  1934. 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 | 2
  1935. 00 00 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 | 0
  1936. fe 00 00 00 00 01 40 00 e5 03 00 13 01 00 00 00 | @
  1937. 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 | 8
  1938. 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 ce | 4
  1939. 00 00 00 02 00 15 01 00 00 00 01 00 00 00 3a e3 | :
  1940. d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 | 8
  1941. e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 | /
  1942. 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 | 8
  1943. 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 | 2
  1944. 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 00 |
  1945. 00 01 00 00 00 dc 80 0e e0 00 00 00 00 27 00 00 | '
  1946. 00 02 00 17 02 00 00 00 01 00 00 00 78 80 0e e0 | x
  1947. 00 00 00 00 4f 01 00 00 09 00 2f 02 00 00 00 38 | O / 8
  1948. e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 |
  1949. 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 | 2
  1950. 03 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 |
  1951. 00 00 30 e3 d1 fe 00 00 00 00 01 40 00 e5 03 00 | 0 @
  1952. 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff | 8
  1953. 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe | 4
  1954. 00 00 00 00 ce 00 00 00 02 00 15 01 00 00 00 01 |
  1955. 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 | :
  1956. 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff | 8
  1957. 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 | / 8
  1958. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 | 2
  1959. 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 |
  1960. 00 15 01 00 00 00 01 00 00 00 44 00 0a e0 00 00 | D
  1961. 00 00 88 c2 02 00 17 02 00 00 00 01 00 00 00 a0 |
  1962. 00 0a e0 00 00 00 00 40 01 04 00 02 00 17 02 00 | @
  1963. 00 00 01 00 00 00 a4 00 0a e0 00 00 00 00 00 18 |
  1964. 00 00 03 00 11 00 00 00 00 43 80 0d e0 00 00 00 | C
  1965. 00 6f ff 02 00 15 01 00 00 00 01 00 00 00 80 b0 | o
  1966. 0f e0 00 00 00 00 04 00 02 00 17 02 00 00 00 01 |
  1967. 00 00 00 00 a3 0f e0 00 00 00 00 02 00 0b 00 02 |
  1968. 00 17 02 00 00 00 01 00 00 00 98 a0 0f e0 00 00 |
  1969. 00 00 20 02 dc 00 02 00 17 02 00 00 00 01 00 00 |
  1970. 00 3c f3 d1 fe 00 00 00 00 00 00 c0 00 02 00 14 | <
  1971. 00 00 00 00 01 00 00 00 a9 80 0f e0 00 00 00 00 |
  1972. 46 02 00 17 02 00 00 00 01 00 00 00 2c e3 d1 fe | F ,
  1973. 00 00 00 00 00 00 00 00 02 00 17 02 00 00 00 01 |
  1974. 00 00 00 00 d1 d1 fe 00 00 00 00 3f c1 00 00 09 | ?
  1975. 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | / 8
  1976. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 | 2
  1977. 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 |
  1978. 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 | 0
  1979. 00 00 00 00 cf 03 00 13 01 00 00 00 38 e3 d1 fe | 8
  1980. 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 |
  1981. 00 00 00 34 e3 d1 fe 00 00 00 00 3f 70 00 00 02 | 4 ?p
  1982. 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 | :
  1983. 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 | 8
  1984. 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 | / 8
  1985. d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  1986. 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 | 2
  1987. 00 00 00 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 | / 8
  1988. fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 |
  1989. 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 | 2
  1990. 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 |
  1991. 30 e3 d1 fe 00 00 00 00 00 00 00 ca 03 00 13 01 | 0
  1992. 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 | 8
  1993. 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 | 4
  1994. 00 00 09 00 00 00 02 00 15 01 00 00 00 01 00 00 |
  1995. 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 00 | :
  1996. 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 | 8
  1997. 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 | / 8
  1998. 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 | 2
  1999. 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 |
  2000. 02 00 00 00 01 00 00 00 20 e3 d1 fe 00 00 00 00 |
  2001. 10 00 00 00 02 00 17 02 00 00 00 01 00 00 00 14 |
  2002. f3 d1 fe 00 00 00 00 ff 2f 01 00 02 00 17 02 00 | /
  2003. 00 00 01 00 00 00 18 f3 d1 fe 00 00 00 00 03 04 |
  2004. cf 0d 02 00 17 02 00 00 00 01 00 00 00 24 f3 d1 | $
  2005. fe 00 00 00 00 00 00 00 04 02 00 17 02 00 00 00 |
  2006. 01 00 00 00 68 f3 d1 fe 00 00 00 00 00 14 04 00 | h
  2007. 02 00 17 02 00 00 00 01 00 00 00 88 f3 d1 fe 00 |
  2008. 00 00 00 ff db 8d 3f 02 00 17 02 00 00 00 01 00 | ?
  2009. 00 00 ac f3 d1 fe 00 00 00 00 01 70 00 00 02 00 | p
  2010. 17 02 00 00 00 01 00 00 00 b0 f3 d1 fe 00 00 00 |
  2011. 00 00 19 18 00 02 00 17 02 00 00 00 01 00 00 00 |
  2012. c0 f3 d1 fe 00 00 00 00 00 0a 06 00 02 00 17 02 |
  2013. 00 00 00 01 00 00 00 d0 f3 d1 fe 00 00 00 00 40 | @
  2014. 08 20 06 02 00 17 02 00 00 00 01 00 00 00 28 fa | (
  2015. d1 fe 00 00 00 00 01 01 01 01 02 00 17 02 00 00 |
  2016. 00 01 00 00 00 2c fa d1 fe 00 00 00 00 04 04 0c | ,
  2017. 04 02 00 17 02 00 00 00 01 00 00 00 9c fa d1 fe |
  2018. 00 00 00 00 0a 00 00 90 02 00 17 02 00 00 00 01 |
  2019. 00 00 00 1c eb d1 fe 00 00 00 00 33 e0 c0 03 02 | 3
  2020. 00 17 02 00 00 00 01 00 00 00 34 eb d1 fe 00 00 | 4
  2021. 00 00 09 00 00 80 02 00 17 02 00 00 00 01 00 00 |
  2022. 00 48 f3 d1 fe 00 00 00 00 ff df 2d 02 02 00 17 | H -
  2023. 02 00 00 00 01 00 00 00 4c f3 d1 fe 00 00 00 00 | L
  2024. 01 00 00 00 02 00 17 02 00 00 00 01 00 00 00 58 | X
  2025. f3 d1 fe 00 00 00 00 00 c0 01 00 02 00 17 02 00 |
  2026. 00 00 01 00 00 00 80 f3 d1 fe 00 00 00 00 ff db |
  2027. 8d 3f 02 00 17 02 00 00 00 01 00 00 00 84 f3 d1 | ?
  2028. fe 00 00 00 00 e1 c7 01 00 02 00 17 02 00 00 00 |
  2029. 01 00 00 00 8c f3 d1 fe 00 00 00 00 e1 c7 01 00 |
  2030. 02 00 17 02 00 00 00 01 00 00 00 98 f3 d1 fe 00 |
  2031. 00 00 00 00 c0 01 00 02 00 17 02 00 00 00 01 00 |
  2032. 00 00 a8 f3 d1 fe 00 00 00 00 00 19 18 00 02 00 |
  2033. 17 02 00 00 00 01 00 00 00 dc f3 d1 fe 00 00 00 |
  2034. 00 00 00 08 00 02 00 17 02 00 00 00 01 00 00 00 |
  2035. e0 f3 d1 fe 00 00 00 00 13 00 00 00 02 00 17 02 |
  2036. 00 00 00 01 00 00 00 20 fa d1 fe 00 00 00 00 0c |
  2037. 04 00 00 02 00 17 02 00 00 00 01 00 00 00 24 fa | $
  2038. d1 fe 00 00 00 00 01 01 01 01 02 00 17 02 00 00 |
  2039. 00 01 00 00 00 30 fa d1 fe 00 00 00 00 01 01 01 | 0
  2040. 01 02 00 17 02 00 00 00 01 00 00 00 ac 80 0f e0 |
  2041. 00 00 00 00 00 00 00 00 02 00 17 02 00 00 00 01 |
  2042. 00 00 00 10 c4 d1 fe 00 00 00 00 03 00 00 00 02 |
  2043. 00 17 02 00 00 00 01 00 00 00 18 e6 d1 fe 00 00 |
  2044. 00 00 31 00 33 08 02 00 17 02 00 00 00 01 00 00 | 1 3
  2045. 00 00 e3 d1 fe 00 00 00 00 02 00 00 00 02 00 17 |
  2046. 02 00 00 00 01 00 00 00 00 e6 d1 fe 00 00 00 00 |
  2047. 08 00 00 00 02 00 17 02 00 00 00 01 00 00 00 b4 |
  2048. f3 d1 fe 00 00 00 00 01 70 00 00 02 00 17 02 00 | p
  2049. 00 00 01 00 00 00 50 f3 d1 fe 00 00 00 00 ff df | P
  2050. 2d 02 02 00 17 02 00 00 00 01 00 00 00 54 f3 d1 | - T
  2051. fe 00 00 00 00 01 00 00 00 02 00 17 02 00 00 00 |
  2052. 01 00 00 00 d4 f3 d1 fe 00 00 00 00 31 0c 31 0c | 1 1
  2053. 02 00 17 02 00 00 00 01 00 00 00 c8 f3 d1 fe 00 |
  2054. 00 00 00 80 00 00 00 02 00 17 02 00 00 00 01 00 |
  2055. 00 00 10 eb d1 fe 00 00 00 00 3c 88 00 00 02 00 | <
  2056. 17 02 00 00 00 01 00 00 00 14 eb d1 fe 00 00 00 |
  2057. 00 16 46 0a 1e 02 00 17 02 00 00 00 01 00 00 00 | F
  2058. 24 eb d1 fe 00 00 00 00 05 00 00 40 02 00 17 02 | $ @
  2059. 00 00 00 01 00 00 00 20 eb d1 fe 00 00 00 00 01 |
  2060. db 05 00 02 00 17 02 00 00 00 01 00 00 00 80 fa |
  2061. d1 fe 00 00 00 00 05 50 14 05 02 00 17 02 00 00 | P
  2062. 00 01 00 00 00 84 fa d1 fe 00 00 00 00 05 10 00 |
  2063. 00 02 00 17 02 00 00 00 01 00 00 00 d4 f3 d1 fe |
  2064. 00 00 00 00 b1 2f ff 2f 02 00 17 02 00 00 00 01 | / /
  2065. 00 00 00 c8 f3 d1 fe 00 00 00 00 80 80 00 00 02 |
  2066. 00 17 02 00 00 00 01 00 00 00 e4 f3 d1 fe 00 00 |
  2067. 00 00 02 00 bf 16 02 00 17 02 00 00 00 01 00 00 |
  2068. 00 e4 f3 d1 fe 00 00 00 00 03 00 bf 16 02 00 14 |
  2069. 00 00 00 00 01 00 00 00 64 80 0f e0 00 00 00 00 | d
  2070. d0 02 00 17 02 00 00 00 01 00 00 00 10 f3 d1 fe |
  2071. 00 00 00 00 00 01 03 06 02 00 15 01 00 00 00 01 |
  2072. 00 00 00 a4 80 0f e0 00 00 00 00 09 98 02 00 14 |
  2073. 00 00 00 00 01 00 00 00 64 80 0f e0 00 00 00 00 | d
  2074. 90 02 00 15 01 00 00 00 01 00 00 00 02 ff d1 fe |
  2075. 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 |
  2076. 00 1c eb d1 fe 00 00 00 00 33 e0 c0 23 09 00 2f | 3 # /
  2077. 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 | 8
  2078. 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 | 2
  2079. 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 |
  2080. 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 e0 | 0
  2081. 01 00 d7 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 | 8
  2082. 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 |
  2083. 00 34 e3 d1 fe 00 00 00 00 3f 00 00 00 02 00 15 | 4 ?
  2084. 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 | :
  2085. 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 | 8
  2086. 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe | / 8
  2087. 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |
  2088. 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 | 2
  2089. 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 10 |
  2090. 00 0a e0 00 00 00 00 04 00 01 90 02 00 15 01 00 |
  2091. 00 00 01 00 00 00 04 00 0a e0 00 00 00 00 06 00 |
  2092. 02 00 17 02 00 00 00 01 00 00 00 04 00 01 90 00 |
  2093. 00 00 00 20 08 00 0f 02 00 17 02 00 00 00 01 00 |
  2094. 00 00 ec 80 01 90 00 00 00 00 01 0c 01 18 02 00 |
  2095. 15 01 00 00 00 01 00 00 00 44 00 0a e0 00 00 00 | D
  2096. 00 89 c6 02 00 14 00 00 00 00 01 00 00 00 46 00 | F
  2097. 0a e0 00 00 00 00 0f 02 00 17 02 00 00 00 01 00 |
  2098. 00 00 50 00 0a e0 00 00 00 00 5f 2e ce 0f 02 00 | P _.
  2099. 17 02 00 00 00 01 00 00 00 0c 00 01 90 00 00 00 |
  2100. 00 0a 00 00 02 02 00 17 02 00 00 00 01 00 00 00 |
  2101. 10 00 01 90 00 00 00 00 c1 77 00 20 02 00 17 02 | w
  2102. 00 00 00 01 00 00 00 a8 00 0a e0 00 00 00 00 8f |
  2103. 20 02 00 02 00 17 02 00 00 00 01 00 00 00 58 80 | X
  2104. 01 90 00 00 00 00 fc ae 11 35 02 00 17 02 00 00 | 5
  2105. 00 01 00 00 00 60 80 01 90 00 00 00 00 5a 50 24 | ` ZP$
  2106. 02 02 00 17 02 00 00 00 01 00 00 00 90 80 01 90 |
  2107. 00 00 00 00 05 d1 1b 4c 02 00 17 02 00 00 00 01 | L
  2108. 00 00 00 94 80 01 90 00 00 00 00 00 c1 a0 00 02 |
  2109. 00 17 02 00 00 00 01 00 00 00 e0 80 01 90 00 00 |
  2110. 00 00 e0 bc 8c 80 02 00 17 02 00 00 00 01 00 00 |
  2111. 00 ec 80 01 90 00 00 00 00 01 0c 11 18 02 00 17 |
  2112. 02 00 00 00 01 00 00 00 f0 80 01 90 00 00 00 00 |
  2113. a0 03 08 31 02 00 17 02 00 00 00 01 00 00 00 fc | 1
  2114. 80 01 90 00 00 00 00 03 80 00 02 02 00 17 02 00 |
  2115. 00 00 01 00 00 00 10 81 01 90 00 00 00 00 88 8a |
  2116. fc f0 02 00 17 02 00 00 00 01 00 00 00 40 81 01 | @
  2117. 90 00 00 00 00 3c f0 00 ff 02 00 17 02 00 00 00 | <
  2118. 01 00 00 00 44 81 01 90 00 00 00 00 ff 03 00 00 | D
  2119. 02 00 17 02 00 00 00 01 00 00 00 54 81 01 90 00 | T
  2120. 00 00 00 06 22 19 81 02 00 17 02 00 00 00 01 00 | "
  2121. 00 00 64 81 01 90 00 00 00 00 ff 00 00 00 02 00 | d
  2122. 17 02 00 00 00 01 00 00 00 6c 81 01 90 00 00 00 | l
  2123. 00 3f 40 0e 00 02 00 17 02 00 00 00 01 00 00 00 | ?@
  2124. 74 81 01 90 00 00 00 00 0a 0c 40 01 02 00 17 02 | t @
  2125. 00 00 00 01 00 00 00 7c 81 01 90 00 00 00 00 a3 | |
  2126. 00 32 03 02 00 17 02 00 00 00 01 00 00 00 80 81 | 2
  2127. 01 90 00 00 00 00 28 00 cb 00 02 00 17 02 00 00 | (
  2128. 00 01 00 00 00 84 81 01 90 00 00 00 00 1e 00 64 | d
  2129. 00 02 00 17 02 00 00 00 01 00 00 00 88 81 01 90 |
  2130. 00 00 00 00 7f 03 01 05 02 00 17 02 00 00 00 01 |
  2131. 00 00 00 98 80 01 90 00 00 00 00 02 30 00 14 02 | 0
  2132. 00 17 02 00 00 00 01 00 00 00 98 81 01 90 00 00 |
  2133. 00 00 7d 73 03 00 02 00 17 02 00 00 00 01 00 00 | }s
  2134. 00 9c 81 01 90 00 00 00 00 00 00 00 00 02 00 17 |
  2135. 02 00 00 00 01 00 00 00 d4 00 0a e0 00 00 00 00 |
  2136. ff 04 00 00 02 00 17 02 00 00 00 01 00 00 00 dc |
  2137. 00 0a e0 00 00 00 00 0f 00 00 00 02 00 17 02 00 |
  2138. 00 00 01 00 00 00 d8 00 0a e0 00 00 00 00 0f 00 |
  2139. 00 00 02 00 17 02 00 00 00 01 00 00 00 d0 00 0a |
  2140. e0 00 00 00 00 ff 07 00 00 02 00 17 02 00 00 00 |
  2141. 01 00 00 00 b0 00 0a e0 00 00 00 00 01 00 00 00 |
  2142. 02 00 17 02 00 00 00 01 00 00 00 b4 00 0a e0 00 |
  2143. 00 00 00 02 00 00 00 02 00 17 02 00 00 00 01 00 |
  2144. 00 00 b8 00 0a e0 00 00 00 00 00 00 00 00 02 00 |
  2145. 17 02 00 00 00 01 00 00 00 bc 00 0a e0 00 00 00 |
  2146. 00 00 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe | / 8
  2147. 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |
  2148. 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 | 2
  2149. 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 30 | 0
  2150. e3 d1 fe 00 00 00 00 04 7f 00 e5 03 00 13 01 00 |
  2151. 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 | 8
  2152. 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 | 4
  2153. 00 81 43 00 00 02 00 15 01 00 00 00 01 00 00 00 | C
  2154. 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 | :
  2155. 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f | 8 /
  2156. 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 | 8
  2157. 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 | 2
  2158. 00 00 00 00 e8 03 00 00 00 00 00 00 09 00 2f 02 | /
  2159. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 | 8
  2160. 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 | 2
  2161. 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 |
  2162. 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 0f 41 | 0 A
  2163. 00 e5 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 | 8
  2164. 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 |
  2165. 34 e3 d1 fe 00 00 00 00 00 28 10 00 02 00 15 01 | 4 (
  2166. 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 | :
  2167. f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 | 8
  2168. 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 | / 8
  2169. 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 |
  2170. 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 | 2
  2171. 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 | / 8
  2172. 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2173. 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 | 2
  2174. 00 00 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 | 0
  2175. fe 00 00 00 00 00 41 00 e5 03 00 13 01 00 00 00 | A
  2176. 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 | 8
  2177. 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 31 | 4 1
  2178. 96 05 00 02 00 15 01 00 00 00 01 00 00 00 3a e3 | :
  2179. d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 | 8
  2180. e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 | /
  2181. 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 | 8
  2182. 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 | 2
  2183. 00 00 e8 03 00 00 00 00 00 00 09 00 2f 02 00 00 | /
  2184. 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 | 8
  2185. 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 | 2
  2186. 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 00 00 |
  2187. 01 00 00 00 30 e3 d1 fe 00 00 00 00 0f 42 00 e5 | 0 B
  2188. 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 | 8
  2189. 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 | 4
  2190. d1 fe 00 00 00 00 00 28 10 00 02 00 15 01 00 00 | (
  2191. 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 | :
  2192. 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | 8
  2193. fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 | / 8
  2194. 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2195. 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 | 2
  2196. 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 | / 8
  2197. 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2198. 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 | 2
  2199. 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 | 0
  2200. 00 00 00 00 42 00 e5 03 00 13 01 00 00 00 38 e3 | B 8
  2201. d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 |
  2202. 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 31 96 05 | 4 1
  2203. 00 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe | :
  2204. 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 | 8
  2205. fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 | /
  2206. 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 | 8
  2207. 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 | 2
  2208. e8 03 00 00 00 00 00 00 09 00 2f 02 00 00 00 38 | / 8
  2209. e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 |
  2210. 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 | 2
  2211. 03 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 |
  2212. 00 00 30 e3 d1 fe 00 00 00 00 0f 43 00 e5 03 00 | 0 C
  2213. 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff | 8
  2214. 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe | 4
  2215. 00 00 00 00 00 28 10 00 02 00 15 01 00 00 00 01 | (
  2216. 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 | :
  2217. 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff | 8
  2218. 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 | / 8
  2219. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 | 2
  2220. 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 09 |
  2221. 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | / 8
  2222. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 | 2
  2223. 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 |
  2224. 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 | 0
  2225. 00 00 43 00 e5 03 00 13 01 00 00 00 38 e3 d1 fe | C 8
  2226. 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 |
  2227. 00 00 00 34 e3 d1 fe 00 00 00 00 31 96 05 00 02 | 4 1
  2228. 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 | :
  2229. 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 | 8
  2230. 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 | / 8
  2231. d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  2232. 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 | 2
  2233. 00 00 00 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 | / 8
  2234. fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 |
  2235. 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 | 2
  2236. 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 |
  2237. 30 e3 d1 fe 00 00 00 00 0f 44 00 e5 03 00 13 01 | 0 D
  2238. 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 | 8
  2239. 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 | 4
  2240. 00 00 00 28 10 00 02 00 15 01 00 00 00 01 00 00 | (
  2241. 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 00 | :
  2242. 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 | 8
  2243. 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 | / 8
  2244. 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 | 2
  2245. 00 00 00 00 00 e8 03 00 00 00 00 00 00 09 00 2f | /
  2246. 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 | 8
  2247. 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 | 2
  2248. 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 |
  2249. 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 00 | 0
  2250. 44 00 e5 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 | D 8
  2251. 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 |
  2252. 00 34 e3 d1 fe 00 00 00 00 31 96 05 00 02 00 15 | 4 1
  2253. 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 | :
  2254. 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 | 8
  2255. 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe | / 8
  2256. 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |
  2257. 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 | 2
  2258. 00 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 | / 8
  2259. 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 |
  2260. 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 | 2
  2261. 00 00 00 02 00 17 02 00 00 00 01 00 00 00 30 e3 | 0
  2262. d1 fe 00 00 00 00 0f 45 00 e5 03 00 13 01 00 00 | E
  2263. 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 17 | 8
  2264. 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 | 4
  2265. 00 28 10 00 02 00 15 01 00 00 00 01 00 00 00 3a | ( :
  2266. e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 00 |
  2267. 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f 02 | 8 /
  2268. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 | 8
  2269. 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 | 2
  2270. 00 00 00 e8 03 00 00 00 00 00 00 09 00 2f 02 00 | /
  2271. 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 | 8
  2272. 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 | 2
  2273. 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 00 |
  2274. 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 00 45 00 | 0 E
  2275. e5 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 | 8
  2276. 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 34 | 4
  2277. e3 d1 fe 00 00 00 00 31 96 05 00 02 00 15 01 00 | 1
  2278. 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 | :
  2279. 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 | 8
  2280. 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 | / 8
  2281. 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2282. 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 | 2
  2283. 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 | / 8
  2284. 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2285. 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 | 2
  2286. 00 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe | 0
  2287. 00 00 00 00 0f 46 00 e5 03 00 13 01 00 00 00 38 | F 8
  2288. e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 |
  2289. 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 00 28 | 4 (
  2290. 10 00 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 | :
  2291. fe 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 | 8
  2292. d1 fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 | /
  2293. 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 | 8
  2294. 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 | 2
  2295. 00 e8 03 00 00 00 00 00 00 09 00 2f 02 00 00 00 | /
  2296. 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 | 8
  2297. 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 | 2
  2298. e8 03 00 00 00 00 00 00 02 00 17 02 00 00 00 01 |
  2299. 00 00 00 30 e3 d1 fe 00 00 00 00 00 46 00 e5 03 | 0 F
  2300. 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 | 8
  2301. ff 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 | 4
  2302. fe 00 00 00 00 31 96 05 00 02 00 15 01 00 00 00 | 1
  2303. 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 | :
  2304. 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe | 8
  2305. ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 | / 8
  2306. 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2307. 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 | 2
  2308. 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 | / 8
  2309. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 | 2
  2310. 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 |
  2311. 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 | 0
  2312. 00 00 0f 47 00 e5 03 00 13 01 00 00 00 38 e3 d1 | G 8
  2313. fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 |
  2314. 01 00 00 00 34 e3 d1 fe 00 00 00 00 00 28 10 00 | 4 (
  2315. 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 | :
  2316. 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe | 8
  2317. 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 | / 8
  2318. e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 |
  2319. 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 | 2
  2320. 03 00 00 00 00 00 00 09 00 2f 02 00 00 00 38 e3 | / 8
  2321. d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  2322. 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 | 2
  2323. 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 |
  2324. 00 30 e3 d1 fe 00 00 00 00 00 47 00 e5 03 00 13 | 0 G
  2325. 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 | 8
  2326. 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 | 4
  2327. 00 00 00 31 96 05 00 02 00 15 01 00 00 00 01 00 | 1
  2328. 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 | :
  2329. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 | 8
  2330. 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | / 8
  2331. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 | 2
  2332. 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 09 00 |
  2333. 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 | / 8
  2334. 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 | 2
  2335. 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 |
  2336. 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 | 0
  2337. 0f 48 00 e5 03 00 13 01 00 00 00 38 e3 d1 fe 00 | H 8
  2338. 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 |
  2339. 00 00 34 e3 d1 fe 00 00 00 00 00 28 10 00 02 00 | 4 (
  2340. 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 | :
  2341. 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 | 8
  2342. 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 | / 8
  2343. fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 |
  2344. 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 | 2
  2345. 00 00 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe | / 8
  2346. 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |
  2347. 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 | 2
  2348. 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 30 | 0
  2349. e3 d1 fe 00 00 00 00 00 48 00 e5 03 00 13 01 00 | H
  2350. 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 | 8
  2351. 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 | 4
  2352. 00 31 96 05 00 02 00 15 01 00 00 00 01 00 00 00 | 1
  2353. 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 | :
  2354. 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f | 8 /
  2355. 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 | 8
  2356. 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 | 2
  2357. 00 00 00 00 e8 03 00 00 00 00 00 00 09 00 2f 02 | /
  2358. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 | 8
  2359. 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 | 2
  2360. 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 |
  2361. 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 0f 49 | 0 I
  2362. 00 e5 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 | 8
  2363. 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 |
  2364. 34 e3 d1 fe 00 00 00 00 00 28 10 00 02 00 15 01 | 4 (
  2365. 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 | :
  2366. f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 | 8
  2367. 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 | / 8
  2368. 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 |
  2369. 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 | 2
  2370. 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 | / 8
  2371. 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2372. 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 | 2
  2373. 00 00 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 | 0
  2374. fe 00 00 00 00 00 49 00 e5 03 00 13 01 00 00 00 | I
  2375. 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 | 8
  2376. 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 31 | 4 1
  2377. 96 05 00 02 00 15 01 00 00 00 01 00 00 00 3a e3 | :
  2378. d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 | 8
  2379. e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 | /
  2380. 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 | 8
  2381. 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 | 2
  2382. 00 00 e8 03 00 00 00 00 00 00 09 00 2f 02 00 00 | /
  2383. 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 | 8
  2384. 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 | 2
  2385. 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 00 00 |
  2386. 01 00 00 00 30 e3 d1 fe 00 00 00 00 0f 4a 00 e5 | 0 J
  2387. 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 | 8
  2388. 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 | 4
  2389. d1 fe 00 00 00 00 00 28 10 00 02 00 15 01 00 00 | (
  2390. 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 | :
  2391. 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | 8
  2392. fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 | / 8
  2393. 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2394. 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 | 2
  2395. 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 | / 8
  2396. 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2397. 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 | 2
  2398. 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 | 0
  2399. 00 00 00 00 4a 00 e5 03 00 13 01 00 00 00 38 e3 | J 8
  2400. d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 |
  2401. 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 31 96 05 | 4 1
  2402. 00 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe | :
  2403. 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 | 8
  2404. fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 | /
  2405. 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 | 8
  2406. 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 | 2
  2407. e8 03 00 00 00 00 00 00 09 00 2f 02 00 00 00 38 | / 8
  2408. e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 |
  2409. 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 | 2
  2410. 03 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 |
  2411. 00 00 30 e3 d1 fe 00 00 00 00 14 7f 00 e5 03 00 | 0
  2412. 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff | 8
  2413. 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe | 4
  2414. 00 00 00 00 55 05 19 00 02 00 15 01 00 00 00 01 | U
  2415. 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 | :
  2416. 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff | 8
  2417. 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 | / 8
  2418. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 | 2
  2419. 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 09 |
  2420. 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | / 8
  2421. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 | 2
  2422. 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 |
  2423. 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 | 0
  2424. 00 02 7f 00 e5 03 00 13 01 00 00 00 38 e3 d1 fe | 8
  2425. 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 |
  2426. 00 00 00 34 e3 d1 fe 00 00 00 00 53 24 00 0a 02 | 4 S$
  2427. 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 | :
  2428. 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 | 8
  2429. 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 | / 8
  2430. d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  2431. 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 | 2
  2432. 00 00 00 00 00 00 02 00 15 01 00 00 00 01 00 00 |
  2433. 00 7a 80 0e e0 00 00 00 00 01 00 02 00 15 01 00 | z
  2434. 00 00 01 00 00 00 04 00 0a e0 00 00 00 00 00 00 |
  2435. 02 00 17 02 00 00 00 01 00 00 00 10 00 0a e0 00 |
  2436. 00 00 00 04 00 00 00 09 00 2f 02 00 00 00 38 e3 | / 8
  2437. d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  2438. 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 | 2
  2439. 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 |
  2440. 00 30 e3 d1 fe 00 00 00 00 00 41 00 e5 03 00 13 | 0 A
  2441. 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 | 8
  2442. 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 | 4
  2443. 00 00 00 01 a6 05 00 02 00 15 01 00 00 00 01 00 |
  2444. 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 | :
  2445. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 | 8
  2446. 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | / 8
  2447. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 | 2
  2448. 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 09 00 |
  2449. 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 | / 8
  2450. 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 | 2
  2451. 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 |
  2452. 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 | 0
  2453. 00 42 00 e5 03 00 13 01 00 00 00 38 e3 d1 fe 00 | B 8
  2454. 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 |
  2455. 00 00 34 e3 d1 fe 00 00 00 00 01 a6 05 00 02 00 | 4
  2456. 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 | :
  2457. 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 | 8
  2458. 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 | / 8
  2459. fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 |
  2460. 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 | 2
  2461. 00 00 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe | / 8
  2462. 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |
  2463. 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 | 2
  2464. 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 30 | 0
  2465. e3 d1 fe 00 00 00 00 04 7f 00 e5 03 00 13 01 00 |
  2466. 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 | 8
  2467. 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 | 4
  2468. 00 81 05 00 00 02 00 15 01 00 00 00 01 00 00 00 |
  2469. 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 | :
  2470. 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f | 8 /
  2471. 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 | 8
  2472. 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 | 2
  2473. 00 00 00 00 e8 03 00 00 00 00 00 00 09 00 2f 02 | /
  2474. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 | 8
  2475. 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 | 2
  2476. 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 |
  2477. 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 98 20 | 0
  2478. 00 e9 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 | 8
  2479. 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 |
  2480. 34 e3 d1 fe 00 00 00 00 c1 3b 20 1f 02 00 15 01 | 4 ;
  2481. 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 | :
  2482. f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 | 8
  2483. 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 | / 8
  2484. 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 |
  2485. 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 | 2
  2486. 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 | / 8
  2487. 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2488. 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 | 2
  2489. 00 00 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 | 0
  2490. fe 00 00 00 00 88 20 00 e9 03 00 13 01 00 00 00 |
  2491. 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 | 8
  2492. 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 3a | 4 :
  2493. af 80 55 02 00 15 01 00 00 00 01 00 00 00 3a e3 | U :
  2494. d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 | 8
  2495. e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 | /
  2496. 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 | 8
  2497. 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 | 2
  2498. 00 00 e8 03 00 00 00 00 00 00 09 00 2f 02 00 00 | /
  2499. 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 | 8
  2500. 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 | 2
  2501. 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 00 00 |
  2502. 01 00 00 00 30 e3 d1 fe 00 00 00 00 90 20 00 e9 | 0
  2503. 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 | 8
  2504. 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 | 4
  2505. d1 fe 00 00 00 00 55 40 3e 2b 02 00 15 01 00 00 | U@>+
  2506. 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 | :
  2507. 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | 8
  2508. fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 | / 8
  2509. 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2510. 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 | 2
  2511. 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 | / 8
  2512. 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2513. 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 | 2
  2514. 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 | 0
  2515. 00 00 00 98 20 00 e9 03 00 13 01 00 00 00 38 e3 | 8
  2516. d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 |
  2517. 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 c3 3b 20 | 4 ;
  2518. 1f 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe | :
  2519. 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 | 8
  2520. fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 | /
  2521. 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 | 8
  2522. 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 | 2
  2523. e8 03 00 00 00 00 00 00 09 00 2f 02 00 00 00 38 | / 8
  2524. e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 |
  2525. 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 | 2
  2526. 03 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 |
  2527. 00 00 30 e3 d1 fe 00 00 00 00 98 20 00 e9 03 00 | 0
  2528. 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff | 8
  2529. 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe | 4
  2530. 00 00 00 00 43 3b 20 1f 02 00 15 01 00 00 00 01 | C;
  2531. 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 | :
  2532. 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff | 8
  2533. 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 | / 8
  2534. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 | 2
  2535. 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 09 |
  2536. 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | / 8
  2537. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 | 2
  2538. 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 |
  2539. 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 | 0
  2540. 00 98 22 00 e9 03 00 13 01 00 00 00 38 e3 d1 fe | " 8
  2541. 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 |
  2542. 00 00 00 34 e3 d1 fe 00 00 00 00 c1 3b 20 1f 02 | 4 ;
  2543. 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 | :
  2544. 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 | 8
  2545. 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 | / 8
  2546. d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  2547. 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 | 2
  2548. 00 00 00 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 | / 8
  2549. fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 |
  2550. 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 | 2
  2551. 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 |
  2552. 30 e3 d1 fe 00 00 00 00 88 22 00 e9 03 00 13 01 | 0 "
  2553. 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 | 8
  2554. 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 | 4
  2555. 00 00 3a af 80 55 02 00 15 01 00 00 00 01 00 00 | : U
  2556. 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 00 | :
  2557. 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 | 8
  2558. 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 | / 8
  2559. 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 | 2
  2560. 00 00 00 00 00 e8 03 00 00 00 00 00 00 09 00 2f | /
  2561. 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 | 8
  2562. 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 | 2
  2563. 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 |
  2564. 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 90 | 0
  2565. 22 00 e9 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 | " 8
  2566. 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 |
  2567. 00 34 e3 d1 fe 00 00 00 00 55 40 3e 2b 02 00 15 | 4 U@>+
  2568. 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 | :
  2569. 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 | 8
  2570. 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe | / 8
  2571. 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |
  2572. 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 | 2
  2573. 00 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 | / 8
  2574. 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 |
  2575. 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 | 2
  2576. 00 00 00 02 00 17 02 00 00 00 01 00 00 00 30 e3 | 0
  2577. d1 fe 00 00 00 00 98 22 00 e9 03 00 13 01 00 00 | "
  2578. 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 17 | 8
  2579. 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 | 4
  2580. c3 3b 20 1f 02 00 15 01 00 00 00 01 00 00 00 3a | ; :
  2581. e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 00 |
  2582. 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f 02 | 8 /
  2583. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 | 8
  2584. 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 | 2
  2585. 00 00 00 e8 03 00 00 00 00 00 00 09 00 2f 02 00 | /
  2586. 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 | 8
  2587. 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 | 2
  2588. 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 00 |
  2589. 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 98 22 00 | 0 "
  2590. e9 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 | 8
  2591. 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 34 | 4
  2592. e3 d1 fe 00 00 00 00 43 3b 20 1f 02 00 15 01 00 | C;
  2593. 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 | :
  2594. 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 | 8
  2595. 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 | / 8
  2596. 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2597. 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 | 2
  2598. 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 | / 8
  2599. 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2600. 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 | 2
  2601. 00 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe | 0
  2602. 00 00 00 00 98 24 00 e9 03 00 13 01 00 00 00 38 | $ 8
  2603. e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 |
  2604. 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 c1 3b | 4 ;
  2605. 20 1f 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 | :
  2606. fe 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 | 8
  2607. d1 fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 | /
  2608. 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 | 8
  2609. 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 | 2
  2610. 00 e8 03 00 00 00 00 00 00 09 00 2f 02 00 00 00 | /
  2611. 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 | 8
  2612. 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 | 2
  2613. e8 03 00 00 00 00 00 00 02 00 17 02 00 00 00 01 |
  2614. 00 00 00 30 e3 d1 fe 00 00 00 00 88 24 00 e9 03 | 0 $
  2615. 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 | 8
  2616. ff 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 | 4
  2617. fe 00 00 00 00 3a 98 80 55 02 00 15 01 00 00 00 | : U
  2618. 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 | :
  2619. 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe | 8
  2620. ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 | / 8
  2621. 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2622. 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 | 2
  2623. 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 | / 8
  2624. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 | 2
  2625. 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 |
  2626. 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 | 0
  2627. 00 00 90 24 00 e9 03 00 13 01 00 00 00 38 e3 d1 | $ 8
  2628. fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 |
  2629. 01 00 00 00 34 e3 d1 fe 00 00 00 00 55 51 3e 2b | 4 UQ>+
  2630. 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 | :
  2631. 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe | 8
  2632. 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 | / 8
  2633. e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 |
  2634. 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 | 2
  2635. 03 00 00 00 00 00 00 09 00 2f 02 00 00 00 38 e3 | / 8
  2636. d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  2637. 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 | 2
  2638. 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 |
  2639. 00 30 e3 d1 fe 00 00 00 00 98 24 00 e9 03 00 13 | 0 $
  2640. 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 | 8
  2641. 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 | 4
  2642. 00 00 00 c3 3b 20 1f 02 00 15 01 00 00 00 01 00 | ;
  2643. 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 | :
  2644. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 | 8
  2645. 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | / 8
  2646. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 | 2
  2647. 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 09 00 |
  2648. 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 | / 8
  2649. 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 | 2
  2650. 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 |
  2651. 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 | 0
  2652. 98 24 00 e9 03 00 13 01 00 00 00 38 e3 d1 fe 00 | $ 8
  2653. 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 |
  2654. 00 00 34 e3 d1 fe 00 00 00 00 43 3b 20 1f 02 00 | 4 C;
  2655. 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 | :
  2656. 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 | 8
  2657. 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 | / 8
  2658. fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 |
  2659. 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 | 2
  2660. 00 00 00 00 00 02 00 14 00 00 00 00 01 00 00 00 |
  2661. 9c a0 0f e0 00 00 00 00 20 02 00 15 01 00 00 00 |
  2662. 01 00 00 00 40 a0 0f e0 00 00 00 00 00 80 02 00 | @
  2663. 15 01 00 00 00 01 00 00 00 42 a0 0f e0 00 00 00 | B
  2664. 00 00 80 02 00 15 01 00 00 00 01 00 00 00 90 a0 |
  2665. 0f e0 00 00 00 00 60 0f 02 00 17 02 00 00 00 01 | `
  2666. 00 00 00 84 fa d1 fe 00 00 00 00 05 10 14 05 02 |
  2667. 00 15 01 00 00 00 01 00 00 00 92 a0 0f e0 00 00 |
  2668. 00 00 00 80 07 00 0b 02 00 00 00 00 00 00 00 02 |
  2669. 00 15 01 00 00 00 01 00 00 00 92 a0 0f e0 00 00 |
  2670. 00 00 00 80 02 00 17 02 00 00 00 01 00 00 00 94 |
  2671. a0 0f e0 00 00 00 00 83 01 00 0f 02 00 17 02 00 |
  2672. 00 00 01 00 00 00 98 a0 0f e0 00 00 00 00 20 02 |
  2673. dc 01 02 00 15 01 00 00 00 01 00 00 00 04 a0 0f |
  2674. e0 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 |
  2675. 00 00 24 a0 0f e0 00 00 00 00 00 00 00 90 02 00 | $
  2676. 15 01 00 00 00 01 00 00 00 04 a0 0f e0 00 00 00 |
  2677. 00 02 00 02 00 14 00 00 00 00 01 00 00 00 9d a0 |
  2678. 0f e0 00 00 00 00 04 02 00 17 02 00 00 00 01 00 |
  2679. 00 00 a4 00 00 90 00 00 00 00 fe 00 0c 00 02 00 |
  2680. 17 02 00 00 00 01 00 00 00 00 a3 0f e0 00 00 00 |
  2681. 00 02 00 0b e0 02 00 15 01 00 00 00 01 00 00 00 |
  2682. 04 a0 0f e0 00 00 00 00 00 00 02 00 17 02 00 00 |
  2683. 00 01 00 00 00 24 a0 0f e0 00 00 00 00 00 00 00 | $
  2684. 00 03 00 17 02 00 00 00 04 c4 d1 fe 00 00 00 00 |
  2685. 10 b2 54 00 00 00 00 ff 02 00 17 02 00 00 00 01 | T
  2686. 00 00 00 18 f4 d1 fe 00 00 00 00 07 80 08 00 09 |
  2687. 00 2f 02 00 00 00 18 f4 d1 fe 00 00 00 00 07 80 | /
  2688. 08 00 00 00 00 00 07 80 08 00 00 00 00 00 01 00 |
  2689. 00 00 00 00 00 00 01 00 00 00 00 00 00 00 02 00 |
  2690. 14 00 00 00 00 01 00 00 00 34 e2 d1 fe 00 00 00 | 4
  2691. 00 0f 02 00 15 01 00 00 00 01 00 00 00 a0 80 0f |
  2692. e0 00 00 00 00 e4 12 02 00 17 02 00 00 00 01 00 |
  2693. 00 00 14 e6 d1 fe 00 00 00 00 00 75 20 0e 02 00 | u
  2694. 17 02 00 00 00 01 00 00 00 00 c9 d1 fe 00 00 00 |
  2695. 00 1f 03 00 00 02 00 14 00 00 00 00 01 00 00 00 |
  2696. 34 f4 d1 fe 00 00 00 00 17 02 00 17 02 00 00 00 | 4
  2697. 01 00 00 00 c0 f8 d1 fe 00 00 00 00 0f 3c 00 00 | <
  2698. 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 | / 8
  2699. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 | 2
  2700. 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 |
  2701. 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 | 0
  2702. 00 00 00 c0 00 ce 03 00 13 01 00 00 00 38 e3 d1 | 8
  2703. fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 |
  2704. 01 00 00 00 34 e3 d1 fe 00 00 00 00 00 00 00 00 | 4
  2705. 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 | :
  2706. 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe | 8
  2707. 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 | / 8
  2708. e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 |
  2709. 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 | 2
  2710. 03 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 |
  2711. 00 00 1c f4 d1 fe 00 00 00 00 00 00 c0 fc 02 00 |
  2712. 14 00 00 00 00 01 00 00 00 00 00 c0 fe 00 00 00 |
  2713. 00 01 02 00 17 02 00 00 00 01 00 00 00 10 00 c0 |
  2714. fe 00 00 00 00 20 00 27 00 02 00 15 01 00 00 00 | '
  2715. 01 00 00 00 6c 80 0f e0 00 00 00 00 f8 f0 02 00 | l
  2716. 15 01 00 00 00 01 00 00 00 70 80 0f e0 00 00 00 | p
  2717. 00 78 f0 02 00 15 01 00 00 00 01 00 00 00 72 80 | x r
  2718. 0f e0 00 00 00 00 78 f0 02 00 15 01 00 00 00 01 | x
  2719. 00 00 00 74 80 0f e0 00 00 00 00 78 f0 02 00 15 | t x
  2720. 01 00 00 00 01 00 00 00 76 80 0f e0 00 00 00 00 | v
  2721. 78 f0 02 00 15 01 00 00 00 01 00 00 00 78 80 0f | x x
  2722. e0 00 00 00 00 78 f0 02 00 15 01 00 00 00 01 00 | x
  2723. 00 00 7a 80 0f e0 00 00 00 00 78 f0 02 00 15 01 | z x
  2724. 00 00 00 01 00 00 00 7c 80 0f e0 00 00 00 00 78 | | x
  2725. f0 02 00 15 01 00 00 00 01 00 00 00 7e 80 0f e0 | ~
  2726. 00 00 00 00 78 f0 02 00 17 02 00 00 00 01 00 00 | x
  2727. 00 2c 80 0f e0 00 00 00 00 86 80 70 72 02 00 17 | , pr
  2728. 02 00 00 00 01 00 00 00 2c b0 0f e0 00 00 00 00 | ,
  2729. 86 80 70 72 02 00 17 02 00 00 00 01 00 00 00 2c | pr ,
  2730. e0 0f e0 00 00 00 00 86 80 70 72 02 00 17 02 00 | pr
  2731. 00 00 01 00 00 00 2c 00 0a e0 00 00 00 00 86 80 | ,
  2732. 70 72 02 00 17 02 00 00 00 01 00 00 00 2c 80 0d | pr ,
  2733. e0 00 00 00 00 86 80 70 72 02 00 17 02 00 00 00 | pr
  2734. 01 00 00 00 94 00 0e e0 00 00 00 00 86 80 70 72 | pr
  2735. 02 00 17 02 00 00 00 01 00 00 00 94 10 0e e0 00 |
  2736. 00 00 00 86 80 70 72 02 00 17 02 00 00 00 01 00 | pr
  2737. 00 00 94 20 0e e0 00 00 00 00 86 80 70 72 02 00 | pr
  2738. 17 02 00 00 00 01 00 00 00 94 40 0e e0 00 00 00 | @
  2739. 00 86 80 70 72 02 00 17 02 00 00 00 01 00 00 00 | pr
  2740. 94 50 0e e0 00 00 00 00 86 80 70 72 02 00 17 02 | P pr
  2741. 00 00 00 01 00 00 00 2c 00 0b e0 00 00 00 00 86 | ,
  2742. 80 70 72 02 00 17 02 00 00 00 01 00 00 00 2c 10 | pr ,
  2743. 0b e0 00 00 00 00 86 80 70 72 03 00 17 02 00 00 | pr
  2744. 00 84 90 0a e0 00 00 00 00 03 00 00 00 fc ff ff |
  2745. ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 | / 8
  2746. 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2747. 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 | 2
  2748. 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 | 0
  2749. 00 00 00 47 aa 00 ce 03 00 13 01 00 00 00 38 e3 | G 8
  2750. d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 |
  2751. 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 00 01 00 | 4
  2752. 00 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe | :
  2753. 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 | 8
  2754. fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 | /
  2755. 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 | 8
  2756. 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 | 2
  2757. e8 03 00 00 00 00 00 00 03 00 17 02 00 00 00 84 |
  2758. a0 0a e0 00 00 00 00 03 00 00 00 fc ff ff ff 09 |
  2759. 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | / 8
  2760. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 | 2
  2761. 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 |
  2762. 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 | 0
  2763. 00 87 aa 00 ce 03 00 13 01 00 00 00 38 e3 d1 fe | 8
  2764. 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 |
  2765. 00 00 00 34 e3 d1 fe 00 00 00 00 00 01 00 00 02 | 4
  2766. 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 | :
  2767. 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 | 8
  2768. 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 | / 8
  2769. d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  2770. 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 | 2
  2771. 00 00 00 00 00 00 03 00 17 02 00 00 00 84 b0 0a |
  2772. e0 00 00 00 00 03 00 00 00 fc ff ff ff 09 00 2f | /
  2773. 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 | 8
  2774. 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 | 2
  2775. 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 |
  2776. 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 c7 | 0
  2777. aa 00 ce 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 | 8
  2778. 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 |
  2779. 00 34 e3 d1 fe 00 00 00 00 00 01 00 00 02 00 15 | 4
  2780. 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 | :
  2781. 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 | 8
  2782. 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe | / 8
  2783. 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |
  2784. 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 | 2
  2785. 00 00 00 00 03 00 17 02 00 00 00 84 d0 0a e0 00 |
  2786. 00 00 00 03 00 00 00 fc ff ff ff 09 00 2f 02 00 | /
  2787. 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 | 8
  2788. 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 | 2
  2789. 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 00 |
  2790. 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 47 ab 00 | 0 G
  2791. ce 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 | 8
  2792. 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 34 | 4
  2793. e3 d1 fe 00 00 00 00 00 01 00 00 02 00 15 01 00 |
  2794. 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 | :
  2795. 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 | 8
  2796. 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 | / 8
  2797. 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2798. 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 | 2
  2799. 00 00 03 00 17 02 00 00 00 84 e0 0a e0 00 00 00 |
  2800. 00 03 00 00 00 fc ff ff ff 09 00 2f 02 00 00 00 | /
  2801. 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 | 8
  2802. 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 | 2
  2803. e8 03 00 00 00 00 00 00 02 00 17 02 00 00 00 01 |
  2804. 00 00 00 30 e3 d1 fe 00 00 00 00 87 ab 00 ce 03 | 0
  2805. 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 | 8
  2806. ff 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 | 4
  2807. fe 00 00 00 00 00 01 00 00 02 00 15 01 00 00 00 |
  2808. 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 | :
  2809. 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe | 8
  2810. ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 | / 8
  2811. 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2812. 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 | 2
  2813. 03 00 17 02 00 00 00 84 80 0b e0 00 00 00 00 03 |
  2814. 00 00 00 fc ff ff ff 09 00 2f 02 00 00 00 38 e3 | / 8
  2815. d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  2816. 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 | 2
  2817. 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 |
  2818. 00 30 e3 d1 fe 00 00 00 00 07 ae 00 ce 03 00 13 | 0
  2819. 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 | 8
  2820. 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 | 4
  2821. 00 00 00 00 01 00 00 02 00 15 01 00 00 00 01 00 |
  2822. 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 | :
  2823. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 | 8
  2824. 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | / 8
  2825. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 | 2
  2826. 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 03 00 |
  2827. 17 02 00 00 00 2c 80 0a e0 00 00 00 00 86 80 e0 | ,
  2828. 9c 00 00 00 00 03 00 17 02 00 00 00 2c 90 0a e0 | ,
  2829. 00 00 00 00 ff ff ff ff 00 00 00 00 03 00 17 02 |
  2830. 00 00 00 2c a0 0a e0 00 00 00 00 ff ff ff ff 00 | ,
  2831. 00 00 00 03 00 17 02 00 00 00 2c b0 0a e0 00 00 | ,
  2832. 00 00 ff ff ff ff 00 00 00 00 03 00 17 02 00 00 |
  2833. 00 2c c0 0a e0 00 00 00 00 86 80 e6 9c 00 00 00 | ,
  2834. 00 03 00 17 02 00 00 00 2c d0 0a e0 00 00 00 00 | ,
  2835. ff ff ff ff 00 00 00 00 03 00 17 02 00 00 00 2c | ,
  2836. e0 0a e0 00 00 00 00 ff ff ff ff 00 00 00 00 03 |
  2837. 00 17 02 00 00 00 2c 80 0b e0 00 00 00 00 ff ff | ,
  2838. ff ff 00 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 | / 8
  2839. fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 |
  2840. 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 | 2
  2841. 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 |
  2842. 30 e3 d1 fe 00 00 00 00 40 02 00 cb 03 00 13 01 | 0 @
  2843. 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 | 8
  2844. 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 | 4
  2845. 00 00 06 00 00 00 02 00 15 01 00 00 00 01 00 00 |
  2846. 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 00 | :
  2847. 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 | 8
  2848. 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 | / 8
  2849. 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 | 2
  2850. 00 00 00 00 00 e8 03 00 00 00 00 00 00 03 00 17 |
  2851. 02 00 00 00 08 98 10 fe 00 00 00 00 00 00 00 00 |
  2852. fb ff ff ff 03 00 17 02 00 00 00 04 98 10 fe 00 |
  2853. 00 00 00 00 00 00 00 fc ff ff ff 03 00 17 02 00 |
  2854. 00 00 04 98 10 fe 00 00 00 00 03 00 00 00 ff ff |
  2855. ff ff 03 00 17 02 00 00 00 14 98 10 fe 00 00 00 |
  2856. 00 00 00 00 00 00 00 00 00 09 00 2f 02 00 00 00 | /
  2857. 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 | 8
  2858. 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 | 2
  2859. e8 03 00 00 00 00 00 00 02 00 17 02 00 00 00 01 |
  2860. 00 00 00 30 e3 d1 fe 00 00 00 00 60 02 00 cb 03 | 0 `
  2861. 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 | 8
  2862. ff 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 | 4
  2863. fe 00 00 00 00 06 00 00 00 02 00 15 01 00 00 00 |
  2864. 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 | :
  2865. 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe | 8
  2866. ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 | / 8
  2867. 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2868. 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 | 2
  2869. 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 | / 8
  2870. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 | 2
  2871. 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 |
  2872. 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 | 0
  2873. 00 00 40 02 00 cb 03 00 13 01 00 00 00 38 e3 d1 | @ 8
  2874. fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 |
  2875. 01 00 00 00 34 e3 d1 fe 00 00 00 00 0a 00 00 00 | 4
  2876. 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 | :
  2877. 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe | 8
  2878. 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 | / 8
  2879. e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 |
  2880. 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 | 2
  2881. 03 00 00 00 00 00 00 09 00 2f 02 00 00 00 38 e3 | / 8
  2882. d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  2883. 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 | 2
  2884. 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 |
  2885. 00 30 e3 d1 fe 00 00 00 00 48 02 00 cb 03 00 13 | 0 H
  2886. 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 | 8
  2887. 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 | 4
  2888. 00 00 00 0c 00 00 00 02 00 15 01 00 00 00 01 00 |
  2889. 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 | :
  2890. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 | 8
  2891. 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | / 8
  2892. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 | 2
  2893. 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 09 00 |
  2894. 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 | / 8
  2895. 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 | 2
  2896. 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 |
  2897. 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 | 0
  2898. 50 02 00 cb 03 00 13 01 00 00 00 38 e3 d1 fe 00 | P 8
  2899. 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 |
  2900. 00 00 34 e3 d1 fe 00 00 00 00 0c 00 00 00 02 00 | 4
  2901. 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 | :
  2902. 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 | 8
  2903. 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 | / 8
  2904. fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 |
  2905. 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 | 2
  2906. 00 00 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe | / 8
  2907. 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |
  2908. 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 | 2
  2909. 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 30 | 0
  2910. e3 d1 fe 00 00 00 00 58 02 00 cb 03 00 13 01 00 | X
  2911. 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 | 8
  2912. 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 | 4
  2913. 00 0c 00 00 00 02 00 15 01 00 00 00 01 00 00 00 |
  2914. 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 | :
  2915. 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f | 8 /
  2916. 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 | 8
  2917. 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 | 2
  2918. 00 00 00 00 e8 03 00 00 00 00 00 00 09 00 2f 02 | /
  2919. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 | 8
  2920. 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 | 2
  2921. 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 |
  2922. 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 60 02 | 0 `
  2923. 00 cb 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 | 8
  2924. 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 |
  2925. 34 e3 d1 fe 00 00 00 00 0e 00 00 00 02 00 15 01 | 4
  2926. 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 | :
  2927. f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 | 8
  2928. 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 | / 8
  2929. 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 |
  2930. 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 | 2
  2931. 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 | / 8
  2932. 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2933. 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 | 2
  2934. 00 00 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 | 0
  2935. fe 00 00 00 00 68 02 00 cb 03 00 13 01 00 00 00 | h
  2936. 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 | 8
  2937. 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 10 | 4
  2938. 00 00 00 02 00 15 01 00 00 00 01 00 00 00 3a e3 | :
  2939. d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 | 8
  2940. e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 | /
  2941. 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 | 8
  2942. 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 | 2
  2943. 00 00 e8 03 00 00 00 00 00 00 09 00 2f 02 00 00 | /
  2944. 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 | 8
  2945. 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 | 2
  2946. 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 00 00 |
  2947. 01 00 00 00 30 e3 d1 fe 00 00 00 00 70 02 00 cb | 0 p
  2948. 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 | 8
  2949. 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 | 4
  2950. d1 fe 00 00 00 00 10 00 00 00 02 00 15 01 00 00 |
  2951. 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 | :
  2952. 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | 8
  2953. fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 | / 8
  2954. 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2955. 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 | 2
  2956. 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 | / 8
  2957. 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  2958. 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 | 2
  2959. 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 | 0
  2960. 00 00 00 54 01 00 cb 03 00 13 01 00 00 00 38 e3 | T 8
  2961. d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 |
  2962. 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 1f 93 00 | 4
  2963. 00 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe | :
  2964. 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 | 8
  2965. fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 | /
  2966. 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 | 8
  2967. 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 | 2
  2968. e8 03 00 00 00 00 00 00 09 00 2f 02 00 00 00 38 | / 8
  2969. e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 |
  2970. 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 | 2
  2971. 03 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 |
  2972. 00 00 30 e3 d1 fe 00 00 00 00 80 01 00 cb 03 00 | 0
  2973. 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff | 8
  2974. 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe | 4
  2975. 00 00 00 00 3f 00 00 00 02 00 15 01 00 00 00 01 | ?
  2976. 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 | :
  2977. 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff | 8
  2978. 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 | / 8
  2979. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 | 2
  2980. 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 09 |
  2981. 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | / 8
  2982. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 | 2
  2983. 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 |
  2984. 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 | 0
  2985. 00 40 02 00 cb 03 00 13 01 00 00 00 38 e3 d1 fe | @ 8
  2986. 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 |
  2987. 00 00 00 34 e3 d1 fe 00 00 00 00 0a 00 04 00 02 | 4
  2988. 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 | :
  2989. 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 | 8
  2990. 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 | / 8
  2991. d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  2992. 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 | 2
  2993. 00 00 00 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 | / 8
  2994. fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 |
  2995. 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 | 2
  2996. 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 |
  2997. 30 e3 d1 fe 00 00 00 00 48 02 00 cb 03 00 13 01 | 0 H
  2998. 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 | 8
  2999. 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 | 4
  3000. 00 00 0c 00 04 00 02 00 15 01 00 00 00 01 00 00 |
  3001. 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 00 | :
  3002. 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 | 8
  3003. 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 | / 8
  3004. 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 | 2
  3005. 00 00 00 00 00 e8 03 00 00 00 00 00 00 09 00 2f | /
  3006. 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 | 8
  3007. 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 | 2
  3008. 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 |
  3009. 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 50 | 0 P
  3010. 02 00 cb 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 | 8
  3011. 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 |
  3012. 00 34 e3 d1 fe 00 00 00 00 0c 00 04 00 02 00 15 | 4
  3013. 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 | :
  3014. 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 | 8
  3015. 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe | / 8
  3016. 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |
  3017. 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 | 2
  3018. 00 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 | / 8
  3019. 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 |
  3020. 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 | 2
  3021. 00 00 00 02 00 17 02 00 00 00 01 00 00 00 30 e3 | 0
  3022. d1 fe 00 00 00 00 58 02 00 cb 03 00 13 01 00 00 | X
  3023. 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 17 | 8
  3024. 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 | 4
  3025. 0c 00 04 00 02 00 15 01 00 00 00 01 00 00 00 3a | :
  3026. e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 00 |
  3027. 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f 02 | 8 /
  3028. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 | 8
  3029. 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 | 2
  3030. 00 00 00 e8 03 00 00 00 00 00 00 09 00 2f 02 00 | /
  3031. 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 | 8
  3032. 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 | 2
  3033. 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 00 |
  3034. 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 60 02 00 | 0 `
  3035. cb 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 | 8
  3036. 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 34 | 4
  3037. e3 d1 fe 00 00 00 00 0e 00 04 00 02 00 15 01 00 |
  3038. 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 | :
  3039. 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 | 8
  3040. 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 | / 8
  3041. 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  3042. 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 | 2
  3043. 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 | / 8
  3044. 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  3045. 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 | 2
  3046. 00 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe | 0
  3047. 00 00 00 00 68 02 00 cb 03 00 13 01 00 00 00 38 | h 8
  3048. e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 |
  3049. 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 10 00 | 4
  3050. 04 00 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 | :
  3051. fe 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 | 8
  3052. d1 fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 | /
  3053. 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 | 8
  3054. 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 | 2
  3055. 00 e8 03 00 00 00 00 00 00 09 00 2f 02 00 00 00 | /
  3056. 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 | 8
  3057. 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 | 2
  3058. e8 03 00 00 00 00 00 00 02 00 17 02 00 00 00 01 |
  3059. 00 00 00 30 e3 d1 fe 00 00 00 00 70 02 00 cb 03 | 0 p
  3060. 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 | 8
  3061. ff 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 | 4
  3062. fe 00 00 00 00 10 00 04 00 02 00 15 01 00 00 00 |
  3063. 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 | :
  3064. 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe | 8
  3065. ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 | / 8
  3066. 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  3067. 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 | 2
  3068. 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 | / 8
  3069. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 | 2
  3070. 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 |
  3071. 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 | 0
  3072. 00 00 14 00 00 cb 03 00 13 01 00 00 00 38 e3 d1 | 8
  3073. fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 |
  3074. 01 00 00 00 34 e3 d1 fe 00 00 00 00 00 20 00 00 | 4
  3075. 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 | :
  3076. 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe | 8
  3077. 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 | / 8
  3078. e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 |
  3079. 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 | 2
  3080. 03 00 00 00 00 00 00 09 00 2f 02 00 00 00 38 e3 | / 8
  3081. d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  3082. 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 | 2
  3083. 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 |
  3084. 00 30 e3 d1 fe 00 00 00 00 40 02 00 cb 03 00 13 | 0 @
  3085. 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 | 8
  3086. 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 | 4
  3087. 00 00 00 0a 00 04 08 02 00 15 01 00 00 00 01 00 |
  3088. 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 | :
  3089. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 | 8
  3090. 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | / 8
  3091. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 | 2
  3092. 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 09 00 |
  3093. 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 | / 8
  3094. 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 | 2
  3095. 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 |
  3096. 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 | 0
  3097. 48 02 00 cb 03 00 13 01 00 00 00 38 e3 d1 fe 00 | H 8
  3098. 00 00 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 |
  3099. 00 00 34 e3 d1 fe 00 00 00 00 0c 00 04 08 02 00 | 4
  3100. 15 01 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 | :
  3101. 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 | 8
  3102. 00 00 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 | / 8
  3103. fe 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 |
  3104. 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 | 2
  3105. 00 00 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe | / 8
  3106. 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |
  3107. 00 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 | 2
  3108. 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 30 | 0
  3109. e3 d1 fe 00 00 00 00 50 02 00 cb 03 00 13 01 00 | P
  3110. 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 | 8
  3111. 17 02 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 | 4
  3112. 00 0c 00 04 08 02 00 15 01 00 00 00 01 00 00 00 |
  3113. 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 | :
  3114. 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f | 8 /
  3115. 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 | 8
  3116. 00 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 | 2
  3117. 00 00 00 00 e8 03 00 00 00 00 00 00 09 00 2f 02 | /
  3118. 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 | 8
  3119. 00 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 | 2
  3120. 00 00 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 |
  3121. 00 00 01 00 00 00 30 e3 d1 fe 00 00 00 00 58 02 | 0 X
  3122. 00 cb 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 | 8
  3123. 00 00 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 |
  3124. 34 e3 d1 fe 00 00 00 00 0c 00 04 08 02 00 15 01 | 4
  3125. 00 00 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 | :
  3126. f0 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 | 8
  3127. 01 00 fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 | / 8
  3128. 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 |
  3129. 00 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 | 2
  3130. 00 00 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 | / 8
  3131. 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  3132. 00 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 | 2
  3133. 00 00 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 | 0
  3134. fe 00 00 00 00 60 02 00 cb 03 00 13 01 00 00 00 | `
  3135. 38 e3 d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 | 8
  3136. 00 00 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 0e | 4
  3137. 00 04 08 02 00 15 01 00 00 00 01 00 00 00 3a e3 | :
  3138. d1 fe 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 | 8
  3139. e3 d1 fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 | /
  3140. 00 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 | 8
  3141. 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 | 2
  3142. 00 00 e8 03 00 00 00 00 00 00 09 00 2f 02 00 00 | /
  3143. 00 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 | 8
  3144. 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 | 2
  3145. 00 e8 03 00 00 00 00 00 00 02 00 17 02 00 00 00 |
  3146. 01 00 00 00 30 e3 d1 fe 00 00 00 00 68 02 00 cb | 0 h
  3147. 03 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 | 8
  3148. 07 ff 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 | 4
  3149. d1 fe 00 00 00 00 10 00 04 08 02 00 15 01 00 00 |
  3150. 00 01 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 | :
  3151. 00 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 | 8
  3152. fe ff 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 | / 8
  3153. 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  3154. 00 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 | 2
  3155. 00 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 | / 8
  3156. 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  3157. 32 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 | 2
  3158. 02 00 17 02 00 00 00 01 00 00 00 30 e3 d1 fe 00 | 0
  3159. 00 00 00 70 02 00 cb 03 00 13 01 00 00 00 38 e3 | p 8
  3160. d1 fe 00 00 00 00 00 07 ff 00 02 00 17 02 00 00 |
  3161. 00 01 00 00 00 34 e3 d1 fe 00 00 00 00 10 00 04 | 4
  3162. 08 02 00 15 01 00 00 00 01 00 00 00 3a e3 d1 fe | :
  3163. 00 00 00 00 00 f0 03 00 13 01 00 00 00 38 e3 d1 | 8
  3164. fe 00 00 00 00 01 00 fe ff 09 00 2f 02 00 00 00 | /
  3165. 38 e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 | 8
  3166. 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 | 2
  3167. e8 03 00 00 00 00 00 00 09 00 2f 02 00 00 00 38 | / 8
  3168. e3 d1 fe 00 00 00 00 01 00 00 00 00 00 00 00 00 |
  3169. 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 e8 | 2
  3170. 03 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 |
  3171. 00 00 30 e3 d1 fe 00 00 00 00 00 00 00 cb 03 00 | 0
  3172. 13 01 00 00 00 38 e3 d1 fe 00 00 00 00 00 07 ff | 8
  3173. 00 02 00 17 02 00 00 00 01 00 00 00 34 e3 d1 fe | 4
  3174. 00 00 00 00 06 08 00 00 02 00 15 01 00 00 00 01 |
  3175. 00 00 00 3a e3 d1 fe 00 00 00 00 00 f0 03 00 13 | :
  3176. 01 00 00 00 38 e3 d1 fe 00 00 00 00 01 00 fe ff | 8
  3177. 09 00 2f 02 00 00 00 38 e3 d1 fe 00 00 00 00 01 | / 8
  3178. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 | 2
  3179. 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 02 |
  3180. 00 17 02 00 00 00 01 00 00 00 40 e0 0f e0 00 00 | @
  3181. 00 00 05 00 00 90 02 00 17 02 00 00 00 01 00 00 |
  3182. 00 44 e0 0f e0 00 00 00 00 00 00 00 00 02 00 14 | D
  3183. 00 00 00 00 01 00 00 00 04 00 00 90 00 00 00 00 |
  3184. 81 02 00 14 00 00 00 00 01 00 00 00 0c 00 00 90 |
  3185. 00 00 00 00 80 02 00 15 01 00 00 00 01 00 00 00 |
  3186. 60 00 00 90 00 00 00 00 00 80 02 00 14 00 00 00 | `
  3187. 00 01 00 00 00 62 00 00 90 00 00 00 00 01 02 00 | b
  3188. 17 02 00 00 00 01 00 00 00 c4 00 00 90 00 00 00 |
  3189. 00 01 04 1f c1 02 00 17 02 00 00 00 01 00 00 00 |
  3190. e0 00 00 90 00 00 00 00 dc 1e 00 80 02 00 17 02 |
  3191. 00 00 00 01 00 00 00 c0 00 00 90 00 00 00 00 0b |
  3192. 39 00 80 02 00 17 02 00 00 00 01 00 00 00 c8 00 | 9
  3193. 00 90 00 00 00 00 00 00 80 05 02 00 17 02 00 00 |
  3194. 00 01 00 00 00 cc 00 00 90 00 00 00 00 cd dc 00 |
  3195. 00 02 00 17 02 00 00 00 01 00 00 00 d0 00 00 90 |
  3196. 00 00 00 00 20 03 00 00 02 00 17 02 00 00 00 01 |
  3197. 00 00 00 f0 00 00 90 00 00 00 00 00 00 00 00 02 |
  3198. 00 17 02 00 00 00 01 00 00 00 40 00 00 90 00 00 | @
  3199. 00 00 36 01 a5 14 02 00 17 02 00 00 00 01 00 00 | 6
  3200. 00 40 00 00 90 00 00 00 00 36 01 a5 b4 02 00 15 | @ 6
  3201. 01 00 00 00 01 00 00 00 50 00 00 90 00 00 00 00 | P
  3202. 00 40 02 00 17 02 00 00 00 01 00 00 00 c4 f3 d1 | @
  3203. fe 00 00 00 00 02 03 05 80 02 00 14 00 00 00 00 |
  3204. 01 00 00 00 a0 a0 0f e0 00 00 00 00 ff 02 00 17 |
  3205. 02 00 00 00 01 00 00 00 a4 a0 0f e0 00 00 00 00 |
  3206. ff ff ff ff 02 00 14 00 00 00 00 01 00 00 00 a0 |
  3207. a0 0f e0 00 00 00 00 ff 02 00 17 02 00 00 00 01 |
  3208. 00 00 00 a4 a0 0f e0 00 00 00 00 ff ff ff ff 02 |
  3209. 00 14 00 00 00 00 01 00 00 00 a4 00 00 90 00 00 |
  3210. 00 00 03 02 00 14 00 00 00 00 01 00 00 00 08 00 |
  3211. 00 90 00 00 00 00 81 02 00 14 00 00 00 00 01 00 |
  3212. 00 00 0a 00 00 90 00 00 00 00 81 02 00 15 01 00 |
  3213. 00 00 01 00 00 00 1c 00 00 90 00 00 00 00 c8 48 | H
  3214. 02 00 15 01 00 00 00 01 00 00 00 1c 00 00 90 00 |
  3215. 00 00 00 c8 c8 02 00 17 02 00 00 00 01 00 00 00 |
  3216. 40 e0 0f e0 00 00 00 00 04 00 00 00 02 00 17 02 | @
  3217. 00 00 00 01 00 00 00 44 e0 0f e0 00 00 00 00 00 | D
  3218. 00 00 00 02 00 17 02 00 00 00 01 00 00 00 04 f4 |
  3219. d1 fe 00 00 00 00 80 00 d0 fe 02 00 17 02 00 00 |
  3220. 00 01 00 00 00 18 54 d1 fe 00 00 00 00 04 00 00 | T
  3221. 00 09 00 2f 02 00 00 00 a4 5d d1 fe 00 00 00 00 | / ]
  3222. 00 00 00 80 00 00 00 00 00 00 00 00 00 00 00 00 |
  3223. 01 00 00 00 00 00 00 00 e8 03 00 00 00 00 00 00 |
  3224. 02 00 17 02 00 00 00 01 00 00 00 a0 5d d1 fe 00 | ]
  3225. 00 00 00 55 55 55 85 02 00 17 02 00 00 00 01 00 | UUU
  3226. 00 00 a4 5d d1 fe 00 00 00 00 09 06 00 80 02 00 | ]
  3227. 17 02 00 00 00 01 00 00 00 a0 59 d1 fe 00 00 00 | Y
  3228. 00 78 80 dc 00 02 00 17 02 00 00 00 01 00 00 00 | x
  3229. a4 59 d1 fe 00 00 00 00 c8 80 42 00 02 00 17 02 | Y B
  3230. 00 00 00 01 00 00 00 a0 59 d1 fe 00 00 00 00 20 | Y
  3231. 83 dc 00 02 00 17 02 00 00 00 01 00 00 00 a4 59 | Y
  3232. d1 fe 00 00 00 00 e8 83 42 00 02 00 17 02 00 00 | B
  3233. 00 01 00 00 00 a0 59 d1 fe 00 00 00 00 20 83 dd | Y
  3234. 00 00 00 14 00 00 00 00 01 00 00 00 b2 00 00 00 |
  3235. 00 00 00 00 48 03 00 17 02 00 00 00 14 90 d1 fe | H
  3236. 00 00 00 00 00 00 00 00 01 00 00 00 03 00 11 00 |
  3237. 00 00 00 04 90 d1 fe 00 00 00 00 01 f8 03 00 17 |
  3238. 02 00 00 00 20 90 d1 fe 00 00 00 00 02 00 00 01 |
  3239. 01 ff ff f8 03 00 17 02 00 00 00 20 90 d1 fe 00 |
  3240. 00 00 00 00 00 00 80 ff ff ff ff 09 00 2f 00 00 | /
  3241. 00 00 26 90 d1 fe 00 00 00 00 02 00 00 00 00 00 | &
  3242. 00 00 00 00 00 00 00 00 00 00 32 00 00 00 00 00 | 2
  3243. 00 00 40 0d 03 00 00 00 00 00 02 00 17 02 00 00 | @
  3244. 00 01 00 00 00 c4 81 d1 fe 00 00 00 00 ff ff ff |
  3245. ff 02 00 17 02 00 00 00 01 00 00 00 d0 81 d1 fe |
  3246. 00 00 00 00 ff ff ff ff 03 00 17 02 00 00 00 44 | D
  3247. 90 d1 fe 00 00 00 00 00 00 01 00 ff ff 00 ff 03 |
  3248. 00 17 02 00 00 00 50 90 d1 fe 00 00 00 00 01 00 | P
  3249. 01 00 ff ff 00 ff 02 00 17 02 00 00 00 01 00 00 |
  3250. 00 58 90 d1 fe 00 00 00 00 00 80 d1 fe 02 00 17 | X
  3251. 02 00 00 00 01 00 00 00 5c 90 d1 fe 00 00 00 00 | \
  3252. 00 00 00 00 03 00 17 02 00 00 00 44 80 d1 fe 00 | D
  3253. 00 00 00 00 00 01 00 ff ff 00 ff 03 00 17 02 00 |
  3254. 00 00 50 80 d1 fe 00 00 00 00 01 00 02 00 ff ff | P
  3255. 00 00 02 00 17 02 00 00 00 01 00 00 00 58 80 d1 | X
  3256. fe 00 00 00 00 00 c0 d1 fe 02 00 17 02 00 00 00 |
  3257. 01 00 00 00 5c 80 d1 fe 00 00 00 00 00 00 00 00 | \
  3258. 02 00 17 02 00 00 00 01 00 00 00 68 80 d1 fe 00 | h
  3259. 00 00 00 00 90 d1 fe 02 00 17 02 00 00 00 01 00 |
  3260. 00 00 6c 80 d1 fe 00 00 00 00 00 00 00 00 03 00 | l
  3261. 17 02 00 00 00 60 80 d1 fe 00 00 00 00 01 00 01 | `
  3262. 00 ff ff 00 ff 03 00 17 02 00 00 00 04 c1 d1 fe |
  3263. 00 00 00 00 00 00 02 00 ff ff 00 ff 03 00 17 02 |
  3264. 00 00 00 10 c1 d1 fe 00 00 00 00 00 00 01 01 ff |
  3265. ff 00 00 02 00 17 02 00 00 00 01 00 00 00 18 c1 |
  3266. d1 fe 00 00 00 00 00 80 d1 fe 02 00 14 00 00 00 |
  3267. 00 01 00 00 00 1c c1 d1 fe 00 00 00 00 00 02 00 |
  3268. 15 01 00 00 00 01 00 00 00 2c 00 00 e0 00 00 00 | ,
  3269. 00 6b 10 02 00 15 01 00 00 00 01 00 00 00 2e 00 | k .
  3270. 00 e0 00 00 00 00 1b 01 02 00 15 01 00 00 00 01 |
  3271. 00 00 00 2c 00 01 e0 00 00 00 00 6b 10 02 00 15 | , k
  3272. 01 00 00 00 01 00 00 00 2e 00 01 e0 00 00 00 00 | .
  3273. 1b 01 02 00 15 01 00 00 00 01 00 00 00 2c 80 01 | ,
  3274. e0 00 00 00 00 6b 10 02 00 15 01 00 00 00 01 00 | k
  3275. 00 00 2e 80 01 e0 00 00 00 00 1b 01 02 00 17 02 | .
  3276. 00 00 00 01 00 00 00 10 00 01 e0 00 00 00 00 04 |
  3277. 00 00 91 02 00 17 02 00 00 00 01 00 00 00 14 00 |
  3278. 01 e0 00 00 00 00 00 00 00 00 02 00 17 02 00 00 |
  3279. 00 01 00 00 00 18 00 01 e0 00 00 00 00 0c 00 00 |
  3280. a0 02 00 17 02 00 00 00 01 00 00 00 1c 00 01 e0 |
  3281. 00 00 00 00 00 00 00 00 03 00 11 00 00 00 00 62 | b
  3282. 00 01 e0 00 00 00 00 01 e0 02 00 15 01 00 00 00 |
  3283. 01 00 00 00 04 00 01 e0 00 00 00 00 06 00 02 00 |
  3284. 17 02 00 00 00 01 00 00 00 88 a1 00 91 00 00 00 |
  3285. 00 01 00 01 00 09 00 2f 02 00 00 00 44 00 13 91 | / D
  3286. 00 00 00 00 01 00 00 00 00 00 00 00 01 00 00 00 |
  3287. 00 00 00 00 32 00 00 00 00 00 00 00 60 ea 00 00 | 2 `
  3288. 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 48 | H
  3289. a2 00 91 00 00 00 00 04 00 00 00 02 00 17 02 00 |
  3290. 00 00 01 00 00 00 50 a2 00 91 00 00 00 00 ff 00 | P
  3291. 00 00 02 00 17 02 00 00 00 01 00 00 00 5c a2 00 | \
  3292. 91 00 00 00 00 10 00 00 00 02 00 17 02 00 00 00 |
  3293. 01 00 00 00 00 a0 00 91 00 00 00 00 20 00 03 00 |
  3294. 02 00 17 02 00 00 00 01 00 00 00 80 a1 00 91 00 |
  3295. 00 00 00 00 00 20 45 02 00 17 02 00 00 00 01 00 | E
  3296. 00 00 24 94 00 91 00 00 00 00 fd 00 00 00 02 00 | $
  3297. 17 02 00 00 00 01 00 00 00 00 94 00 91 00 00 00 |
  3298. 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 |
  3299. 04 94 00 91 00 00 00 00 00 10 40 40 02 00 17 02 | @@
  3300. 00 00 00 01 00 00 00 08 94 00 91 00 00 00 00 00 |
  3301. 00 00 00 02 00 17 02 00 00 00 01 00 00 00 0c 94 |
  3302. 00 91 00 00 00 00 01 00 00 02 02 00 17 02 00 00 |
  3303. 00 01 00 00 00 54 a0 01 91 00 00 00 00 0a 00 00 | T
  3304. 00 02 00 17 02 00 00 00 01 00 00 00 08 a0 00 91 |
  3305. 00 00 00 00 00 00 00 06 02 00 17 02 00 00 00 01 |
  3306. 00 00 00 0c a0 00 91 00 00 00 00 00 00 00 08 02 |
  3307. 00 17 02 00 00 00 01 00 00 00 58 81 13 91 00 00 | X
  3308. 00 00 09 00 00 00 02 00 17 02 00 00 00 01 00 00 |
  3309. 00 5c 81 13 91 00 00 00 00 0d 00 00 00 03 00 17 | \
  3310. 02 00 00 00 90 a0 00 91 00 00 00 00 00 00 00 00 |
  3311. 00 00 00 00 03 00 17 02 00 00 00 98 a0 00 91 00 |
  3312. 00 00 00 00 00 e8 03 00 00 00 00 03 00 17 02 00 |
  3313. 00 00 9c a0 00 91 00 00 00 00 00 00 28 00 00 00 | (
  3314. 00 00 03 00 17 02 00 00 00 a8 a0 00 91 00 00 00 |
  3315. 00 48 e8 01 00 00 00 00 00 03 00 17 02 00 00 00 | H
  3316. ac a0 00 91 00 00 00 00 19 00 00 00 00 00 00 00 |
  3317. 03 00 17 02 00 00 00 54 20 00 91 00 00 00 00 0a | T
  3318. 00 00 00 00 00 00 00 03 00 17 02 00 00 00 54 20 | T
  3319. 01 91 00 00 00 00 0a 00 00 00 00 00 00 00 03 00 |
  3320. 17 02 00 00 00 54 20 02 91 00 00 00 00 0a 00 00 | T
  3321. 00 00 00 00 00 03 00 17 02 00 00 00 b0 a0 00 91 |
  3322. 00 00 00 00 00 00 00 00 00 00 00 00 03 00 17 02 |
  3323. 00 00 00 b8 a0 00 91 00 00 00 00 71 02 00 00 00 | q
  3324. 00 00 00 03 00 17 02 00 00 00 10 a0 00 91 00 00 |
  3325. 00 00 40 42 0f 00 00 00 00 00 03 00 17 02 00 00 | @B
  3326. 00 14 a0 00 91 00 00 00 00 00 00 06 12 00 00 00 |
  3327. 00 03 00 17 02 00 00 00 2c a0 00 91 00 00 00 00 | ,
  3328. 08 e8 00 00 00 00 00 00 03 00 17 02 00 00 00 30 | 0
  3329. a0 00 91 00 00 00 00 08 bd 03 00 00 00 00 00 03 |
  3330. 00 17 02 00 00 00 68 a0 00 91 00 00 00 00 d0 01 | h
  3331. 01 00 00 00 00 00 03 00 17 02 00 00 00 6c a0 00 | l
  3332. 91 00 00 00 00 30 57 05 00 00 00 00 00 03 00 17 | 0W
  3333. 02 00 00 00 70 a0 00 91 00 00 00 00 0a 00 00 00 | p
  3334. 00 00 00 00 03 00 17 02 00 00 00 68 a1 00 91 00 | h
  3335. 00 00 00 06 00 00 00 00 00 00 00 03 00 17 02 00 |
  3336. 00 00 24 a0 00 91 00 00 00 00 92 0b 00 00 00 00 | $
  3337. 00 00 02 00 17 02 00 00 00 01 00 00 00 90 a0 00 |
  3338. 91 00 00 00 00 00 00 04 90 09 00 2f 02 00 00 00 | /
  3339. 24 81 13 91 00 00 00 00 00 00 00 80 00 00 00 00 | $
  3340. 00 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 | 2
  3341. 60 ea 00 00 00 00 00 00 02 00 17 02 00 00 00 01 | `
  3342. 00 00 00 28 81 13 91 00 00 00 00 00 00 00 00 02 | (
  3343. 00 17 02 00 00 00 01 00 00 00 24 81 13 91 00 00 | $
  3344. 00 00 04 00 00 80 09 00 2f 02 00 00 00 24 81 13 | / $
  3345. 91 00 00 00 00 00 00 00 80 00 00 00 00 00 00 00 |
  3346. 00 00 00 00 00 32 00 00 00 00 00 00 00 60 ea 00 | 2 `
  3347. 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 |
  3348. 2c 40 04 91 00 00 00 00 76 00 00 03 02 00 17 02 | ,@ v
  3349. 00 00 00 01 00 00 00 94 a0 00 91 00 00 00 00 00 |
  3350. 00 04 00 03 00 17 02 00 00 00 48 a2 00 91 00 00 | H
  3351. 00 00 00 00 00 80 ff ff ff 7f 03 00 17 02 00 00 |
  3352. 00 00 a0 00 91 00 00 00 00 00 00 04 00 ff ff fb |
  3353. ff 03 00 17 02 00 00 00 80 a1 00 91 00 00 00 00 |
  3354. 00 00 00 80 ff ff ff 7f 02 00 17 02 00 00 00 01 |
  3355. 00 00 00 88 a1 00 91 00 00 00 00 00 00 01 00 09 |
  3356. 00 2f 02 00 00 00 44 00 13 91 00 00 00 00 01 00 | / D
  3357. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 32 00 | 2
  3358. 00 00 00 00 00 00 60 ea 00 00 00 00 00 00 03 00 | `
  3359. 17 02 00 00 00 00 54 04 91 00 00 00 00 00 00 00 | T
  3360. 80 ff ff ff ff 09 00 2f 02 00 00 00 00 54 04 91 | / T
  3361. 00 00 00 00 00 00 00 40 00 00 00 00 00 00 00 40 | @ @
  3362. 00 00 00 00 32 00 00 00 00 00 00 00 60 ea 00 00 | 2 `
  3363. 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 28 | (
  3364. 81 13 91 00 00 00 00 00 00 00 00 02 00 17 02 00 |
  3365. 00 00 01 00 00 00 2c 81 13 91 00 00 00 00 00 00 | ,
  3366. 00 00 02 00 17 02 00 00 00 01 00 00 00 24 81 13 | $
  3367. 91 00 00 00 00 18 00 00 80 09 00 2f 02 00 00 00 | /
  3368. 24 81 13 91 00 00 00 00 00 00 00 80 00 00 00 00 | $
  3369. 00 00 00 00 00 00 00 00 1e 00 00 00 00 00 00 00 |
  3370. 05 00 00 00 00 00 00 00 03 00 17 02 00 00 00 40 | @
  3371. 00 13 91 00 00 00 00 00 00 00 0c ff ff ff f3 02 |
  3372. 00 17 02 00 00 00 01 00 00 00 28 81 13 91 00 00 | (
  3373. 00 00 03 00 00 00 02 00 17 02 00 00 00 01 00 00 |
  3374. 00 2c 81 13 91 00 00 00 00 00 00 00 00 02 00 17 | ,
  3375. 02 00 00 00 01 00 00 00 24 81 13 91 00 00 00 00 | $
  3376. 17 00 00 80 03 00 17 02 00 00 00 00 62 04 91 00 | b
  3377. 00 00 00 a2 02 00 00 00 fc ff ff 03 00 17 02 00 |
  3378. 00 00 10 40 06 91 00 00 00 00 52 01 00 00 00 f8 | @ R
  3379. ff ff 03 00 17 02 00 00 00 10 48 06 91 00 00 00 | H
  3380. 00 52 01 00 00 00 f8 ff ff 02 00 15 01 00 00 00 | R
  3381. 01 00 00 00 04 00 01 e0 00 00 00 00 00 00 02 00 |
  3382. 17 02 00 00 00 01 00 00 00 10 00 01 e0 00 00 00 |
  3383. 00 ff ff ff ff 02 00 17 02 00 00 00 01 00 00 00 |
  3384. 18 00 01 e0 00 00 00 00 ff ff ff ff 02 00 14 00 |
  3385. 00 00 00 01 00 00 00 62 00 01 e0 00 00 00 00 01 | b
  3386. 02 00 17 02 00 00 00 01 00 00 00 10 80 01 e0 00 |
  3387. 00 00 00 04 00 01 90 02 00 17 02 00 00 00 01 00 |
  3388. 00 00 14 80 01 e0 00 00 00 00 00 00 00 00 02 00 |
  3389. 15 01 00 00 00 01 00 00 00 04 80 01 e0 00 00 00 |
  3390. 00 02 00 03 00 17 02 00 00 00 0c 10 01 90 00 00 |
  3391. 00 00 08 00 00 00 00 00 fc ff 03 00 17 02 00 00 |
  3392. 00 10 10 01 90 00 00 00 00 e1 00 00 00 00 00 fc |
  3393. ff 03 00 17 02 00 00 00 08 00 01 90 00 00 00 00 |
  3394. 01 00 00 00 ff ff ff ff 09 00 2f 01 00 00 00 08 | /
  3395. 00 01 90 00 00 00 00 01 00 00 00 00 00 00 00 01 |
  3396. 00 00 00 00 00 00 00 64 00 00 00 00 00 00 00 0a | d
  3397. 00 00 00 00 00 00 00 02 00 15 01 00 00 00 01 00 |
  3398. 00 00 00 00 01 90 00 00 00 00 01 30 02 00 14 00 | 0
  3399. 00 00 00 01 00 00 00 0e 00 01 90 00 00 00 00 00 |
  3400. 03 00 17 02 00 00 00 08 00 01 90 00 00 00 00 00 |
  3401. 00 00 00 fe ff ff ff 09 00 2f 01 00 00 00 08 00 | /
  3402. 01 90 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  3403. 00 00 00 00 00 00 64 00 00 00 00 00 00 00 0a 00 | d
  3404. 00 00 00 00 00 00 03 00 17 02 00 00 00 08 00 01 |
  3405. 90 00 00 00 00 01 00 00 00 ff ff ff ff 07 00 0b |
  3406. 2c 01 00 00 00 00 00 00 09 00 2f 01 00 00 00 08 | , /
  3407. 00 01 90 00 00 00 00 01 00 00 00 00 00 00 00 01 |
  3408. 00 00 00 00 00 00 00 64 00 00 00 00 00 00 00 0a | d
  3409. 00 00 00 00 00 00 00 09 00 2f 01 00 00 00 68 00 | / h
  3410. 01 90 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  3411. 00 00 00 00 00 00 64 00 00 00 00 00 00 00 0a 00 | d
  3412. 00 00 00 00 00 00 03 00 13 01 00 00 00 68 00 01 | h
  3413. 90 00 00 00 00 02 00 ff ff 02 00 17 02 00 00 00 |
  3414. 01 00 00 00 60 00 01 90 00 00 00 00 01 81 87 00 | `
  3415. 03 00 13 01 00 00 00 68 00 01 90 00 00 00 00 01 | h
  3416. 00 ff ff 09 00 2f 01 00 00 00 68 00 01 90 00 00 | / h
  3417. 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  3418. 00 00 64 00 00 00 00 00 00 00 0a 00 00 00 00 00 | d
  3419. 00 00 09 00 2f 01 00 00 00 68 00 01 90 00 00 00 | / h
  3420. 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  3421. 00 64 00 00 00 00 00 00 00 0a 00 00 00 00 00 00 | d
  3422. 00 03 00 13 01 00 00 00 68 00 01 90 00 00 00 00 | h
  3423. 02 00 ff ff 02 00 17 02 00 00 00 01 00 00 00 60 | `
  3424. 00 01 90 00 00 00 00 10 1c 57 00 03 00 13 01 00 | W
  3425. 00 00 68 00 01 90 00 00 00 00 01 00 ff ff 09 00 | h
  3426. 2f 01 00 00 00 68 00 01 90 00 00 00 00 01 00 00 | / h
  3427. 00 00 00 00 00 00 00 00 00 00 00 00 00 64 00 00 | d
  3428. 00 00 00 00 00 0a 00 00 00 00 00 00 00 09 00 2f | /
  3429. 01 00 00 00 68 00 01 90 00 00 00 00 01 00 00 00 | h
  3430. 00 00 00 00 00 00 00 00 00 00 00 00 64 00 00 00 | d
  3431. 00 00 00 00 0a 00 00 00 00 00 00 00 03 00 13 01 |
  3432. 00 00 00 68 00 01 90 00 00 00 00 02 00 ff ff 02 | h
  3433. 00 17 02 00 00 00 01 00 00 00 60 00 01 90 00 00 | `
  3434. 00 00 00 1d 57 00 03 00 13 01 00 00 00 68 00 01 | W h
  3435. 90 00 00 00 00 01 00 ff ff 09 00 2f 01 00 00 00 | /
  3436. 68 00 01 90 00 00 00 00 01 00 00 00 00 00 00 00 | h
  3437. 00 00 00 00 00 00 00 00 64 00 00 00 00 00 00 00 | d
  3438. 0a 00 00 00 00 00 00 00 09 00 2f 01 00 00 00 68 | / h
  3439. 00 01 90 00 00 00 00 01 00 00 00 00 00 00 00 00 |
  3440. 00 00 00 00 00 00 00 64 00 00 00 00 00 00 00 0a | d
  3441. 00 00 00 00 00 00 00 03 00 13 01 00 00 00 68 00 | h
  3442. 01 90 00 00 00 00 02 00 ff ff 02 00 17 02 00 00 |
  3443. 00 01 00 00 00 60 00 01 90 00 00 00 00 56 1e 57 | ` V W
  3444. 00 03 00 13 01 00 00 00 68 00 01 90 00 00 00 00 | h
  3445. 01 00 ff ff 09 00 2f 01 00 00 00 68 00 01 90 00 | / h
  3446. 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 |
  3447. 00 00 00 64 00 00 00 00 00 00 00 0a 00 00 00 00 | d
  3448. 00 00 00 09 00 2f 01 00 00 00 68 00 01 90 00 00 | / h
  3449. 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  3450. 00 00 64 00 00 00 00 00 00 00 0a 00 00 00 00 00 | d
  3451. 00 00 03 00 13 01 00 00 00 68 00 01 90 00 00 00 | h
  3452. 00 02 00 ff ff 02 00 17 02 00 00 00 01 00 00 00 |
  3453. 60 00 01 90 00 00 00 00 18 1f 57 00 03 00 13 01 | ` W
  3454. 00 00 00 68 00 01 90 00 00 00 00 01 00 ff ff 09 | h
  3455. 00 2f 01 00 00 00 68 00 01 90 00 00 00 00 01 00 | / h
  3456. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 64 00 | d
  3457. 00 00 00 00 00 00 0a 00 00 00 00 00 00 00 09 00 |
  3458. 2f 01 00 00 00 68 00 01 90 00 00 00 00 01 00 00 | / h
  3459. 00 00 00 00 00 00 00 00 00 00 00 00 00 64 00 00 | d
  3460. 00 00 00 00 00 0a 00 00 00 00 00 00 00 03 00 13 |
  3461. 01 00 00 00 68 00 01 90 00 00 00 00 02 00 ff ff | h
  3462. 02 00 17 02 00 00 00 01 00 00 00 60 00 01 90 00 | `
  3463. 00 00 00 20 1c 67 00 03 00 13 01 00 00 00 68 00 | g h
  3464. 01 90 00 00 00 00 01 00 ff ff 09 00 2f 01 00 00 | /
  3465. 00 68 00 01 90 00 00 00 00 01 00 00 00 00 00 00 | h
  3466. 00 00 00 00 00 00 00 00 00 64 00 00 00 00 00 00 | d
  3467. 00 0a 00 00 00 00 00 00 00 09 00 2f 01 00 00 00 | /
  3468. 68 00 01 90 00 00 00 00 01 00 00 00 00 00 00 00 | h
  3469. 00 00 00 00 00 00 00 00 64 00 00 00 00 00 00 00 | d
  3470. 0a 00 00 00 00 00 00 00 03 00 13 01 00 00 00 68 | h
  3471. 00 01 90 00 00 00 00 02 00 ff ff 02 00 17 02 00 |
  3472. 00 00 01 00 00 00 60 00 01 90 00 00 00 00 00 1d | `
  3473. 67 00 03 00 13 01 00 00 00 68 00 01 90 00 00 00 | g h
  3474. 00 01 00 ff ff 09 00 2f 01 00 00 00 68 00 01 90 | / h
  3475. 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |
  3476. 00 00 00 00 64 00 00 00 00 00 00 00 0a 00 00 00 | d
  3477. 00 00 00 00 09 00 2f 01 00 00 00 68 00 01 90 00 | / h
  3478. 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 00 |
  3479. 00 00 00 64 00 00 00 00 00 00 00 0a 00 00 00 00 | d
  3480. 00 00 00 03 00 13 01 00 00 00 68 00 01 90 00 00 | h
  3481. 00 00 02 00 ff ff 02 00 17 02 00 00 00 01 00 00 |
  3482. 00 60 00 01 90 00 00 00 00 56 1e 67 00 03 00 13 | ` V g
  3483. 01 00 00 00 68 00 01 90 00 00 00 00 01 00 ff ff | h
  3484. 09 00 2f 01 00 00 00 68 00 01 90 00 00 00 00 01 | / h
  3485. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 64 | d
  3486. 00 00 00 00 00 00 00 0a 00 00 00 00 00 00 00 09 |
  3487. 00 2f 01 00 00 00 68 00 01 90 00 00 00 00 01 00 | / h
  3488. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 64 00 | d
  3489. 00 00 00 00 00 00 0a 00 00 00 00 00 00 00 03 00 |
  3490. 13 01 00 00 00 68 00 01 90 00 00 00 00 02 00 ff | h
  3491. ff 02 00 17 02 00 00 00 01 00 00 00 60 00 01 90 | `
  3492. 00 00 00 00 18 1f 67 00 03 00 13 01 00 00 00 68 | g h
  3493. 00 01 90 00 00 00 00 01 00 ff ff 09 00 2f 01 00 | /
  3494. 00 00 68 00 01 90 00 00 00 00 01 00 00 00 00 00 | h
  3495. 00 00 00 00 00 00 00 00 00 00 64 00 00 00 00 00 | d
  3496. 00 00 0a 00 00 00 00 00 00 00 09 00 2f 01 00 00 | /
  3497. 00 68 00 01 90 00 00 00 00 01 00 00 00 00 00 00 | h
  3498. 00 00 00 00 00 00 00 00 00 64 00 00 00 00 00 00 | d
  3499. 00 0a 00 00 00 00 00 00 00 03 00 13 01 00 00 00 |
  3500. 68 00 01 90 00 00 00 00 02 00 ff ff 02 00 17 02 | h
  3501. 00 00 00 01 00 00 00 60 00 01 90 00 00 00 00 30 | ` 0
  3502. 1c 77 00 03 00 13 01 00 00 00 68 00 01 90 00 00 | w h
  3503. 00 00 01 00 ff ff 09 00 2f 01 00 00 00 68 00 01 | / h
  3504. 90 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 |
  3505. 00 00 00 00 00 64 00 00 00 00 00 00 00 0a 00 00 | d
  3506. 00 00 00 00 00 09 00 2f 01 00 00 00 68 00 01 90 | / h
  3507. 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 00 |
  3508. 00 00 00 00 64 00 00 00 00 00 00 00 0a 00 00 00 | d
  3509. 00 00 00 00 03 00 13 01 00 00 00 68 00 01 90 00 | h
  3510. 00 00 00 02 00 ff ff 02 00 17 02 00 00 00 01 00 |
  3511. 00 00 60 00 01 90 00 00 00 00 00 1d 77 00 03 00 | ` w
  3512. 13 01 00 00 00 68 00 01 90 00 00 00 00 01 00 ff | h
  3513. ff 09 00 2f 01 00 00 00 68 00 01 90 00 00 00 00 | / h
  3514. 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  3515. 64 00 00 00 00 00 00 00 0a 00 00 00 00 00 00 00 | d
  3516. 09 00 2f 01 00 00 00 68 00 01 90 00 00 00 00 01 | / h
  3517. 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 64 | d
  3518. 00 00 00 00 00 00 00 0a 00 00 00 00 00 00 00 03 |
  3519. 00 13 01 00 00 00 68 00 01 90 00 00 00 00 02 00 | h
  3520. ff ff 02 00 17 02 00 00 00 01 00 00 00 60 00 01 | `
  3521. 90 00 00 00 00 56 1e 77 00 03 00 13 01 00 00 00 | V w
  3522. 68 00 01 90 00 00 00 00 01 00 ff ff 09 00 2f 01 | h /
  3523. 00 00 00 68 00 01 90 00 00 00 00 01 00 00 00 00 | h
  3524. 00 00 00 00 00 00 00 00 00 00 00 64 00 00 00 00 | d
  3525. 00 00 00 0a 00 00 00 00 00 00 00 09 00 2f 01 00 | /
  3526. 00 00 68 00 01 90 00 00 00 00 01 00 00 00 00 00 | h
  3527. 00 00 00 00 00 00 00 00 00 00 64 00 00 00 00 00 | d
  3528. 00 00 0a 00 00 00 00 00 00 00 03 00 13 01 00 00 |
  3529. 00 68 00 01 90 00 00 00 00 02 00 ff ff 02 00 17 | h
  3530. 02 00 00 00 01 00 00 00 60 00 01 90 00 00 00 00 | `
  3531. 18 1f 77 00 03 00 13 01 00 00 00 68 00 01 90 00 | w h
  3532. 00 00 00 01 00 ff ff 09 00 2f 01 00 00 00 68 00 | / h
  3533. 01 90 00 00 00 00 01 00 00 00 00 00 00 00 00 00 |
  3534. 00 00 00 00 00 00 64 00 00 00 00 00 00 00 0a 00 | d
  3535. 00 00 00 00 00 00 09 00 2f 01 00 00 00 68 00 01 | / h
  3536. 90 00 00 00 00 01 00 00 00 00 00 00 00 00 00 00 |
  3537. 00 00 00 00 00 64 00 00 00 00 00 00 00 0a 00 00 | d
  3538. 00 00 00 00 00 03 00 13 01 00 00 00 68 00 01 90 | h
  3539. 00 00 00 00 02 00 ff ff 02 00 17 02 00 00 00 01 |
  3540. 00 00 00 60 00 01 90 00 00 00 00 00 81 87 00 03 | `
  3541. 00 13 01 00 00 00 68 00 01 90 00 00 00 00 01 00 | h
  3542. ff ff 09 00 2f 01 00 00 00 68 00 01 90 00 00 00 | / h
  3543. 00 01 00 00 00 00 00 00 00 00 00 00 00 00 00 00 |
  3544. 00 64 00 00 00 00 00 00 00 0a 00 00 00 00 00 00 | d
  3545. 00 02 00 15 01 00 00 00 01 00 00 00 04 80 01 e0 |
  3546. 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 00 |
  3547. 00 10 80 01 e0 00 00 00 00 04 00 00 00 02 00 17 |
  3548. 02 00 00 00 01 00 00 00 14 80 01 e0 00 00 00 00 |
  3549. 00 00 00 00 02 00 17 02 00 00 00 01 00 00 00 00 |
  3550. 54 d1 fe 00 00 00 00 01 00 d9 fe 02 00 17 02 00 | T
  3551. 00 00 01 00 00 00 10 54 d1 fe 00 00 00 00 01 10 | T
  3552. d9 fe 02 00 17 02 00 00 00 01 00 00 00 00 01 d9 |
  3553. fe 00 00 00 00 0a 05 00 00 02 00 17 02 00 00 00 |
  3554. 01 00 00 00 04 0f d9 fe 00 00 00 00 00 f0 03 00 |
  3555. 02 00 17 02 00 00 00 01 00 00 00 f4 0f d9 fe 00 |
  3556. 00 00 00 00 00 10 00 02 00 17 02 00 00 00 01 00 |
  3557. 00 00 f0 0f d9 fe 00 00 00 00 05 00 00 80 02 00 |
  3558. 17 02 00 00 00 01 00 00 00 04 1f d9 fe 00 00 00 |
  3559. 00 21 84 00 11 02 00 17 02 00 00 00 01 00 00 00 | !
  3560. f4 1f d9 fe 00 00 00 00 00 00 00 00 02 00 17 02 |
  3561. 00 00 00 01 00 00 00 f0 1f d9 fe 00 00 00 00 1f |
  3562. 00 00 80 02 00 17 02 00 00 00 01 00 00 00 fc 00 |
  3563. 01 e0 00 00 00 00 90 31 d0 8a 02 00 17 02 00 00 | 1
  3564. 00 01 00 00 00 e8 00 01 e0 00 00 00 00 00 80 00 |
  3565. 00 03 00 17 02 00 00 00 d8 00 0e e0 00 00 00 00 |
  3566. 02 00 00 00 fd ff ff ff 02 00 17 02 00 00 00 01 |
  3567. 00 00 00 74 f8 d1 fe 00 00 00 00 00 00 01 80 02 | t
  3568. 00 17 02 00 00 00 01 00 00 00 78 f8 d1 fe 00 00 | x
  3569. 00 00 8e 01 6f 85 02 00 17 02 00 00 00 01 00 00 | o
  3570. 00 7c f8 d1 fe 00 00 00 00 92 05 ff ff 02 00 17 | |
  3571. 02 00 00 00 01 00 00 00 54 00 00 e0 00 00 00 00 | T
  3572. 31 00 00 00 02 00 17 02 00 00 00 01 00 00 00 94 | 1
  3573. 00 00 e0 00 00 00 00 01 00 00 00 02 00 17 02 00 |
  3574. 00 00 01 00 00 00 90 00 00 e0 00 00 00 00 01 00 |
  3575. 00 00 02 00 17 02 00 00 00 01 00 00 00 9c 00 00 |
  3576. e0 00 00 00 00 01 00 00 00 02 00 17 02 00 00 00 |
  3577. 01 00 00 00 98 00 00 e0 00 00 00 00 01 00 f0 6e | n
  3578. 03 00 17 02 00 00 00 30 5d d1 fe 00 00 00 00 00 | 0]
  3579. 00 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 |
  3580. 00 00 90 f8 d1 fe 00 00 00 00 c0 04 42 f9 02 00 | B
  3581. 17 02 00 00 00 01 00 00 00 94 f8 d1 fe 00 00 00 |
  3582. 00 06 06 6c 3c 02 00 17 02 00 00 00 01 00 00 00 | l<
  3583. 98 f8 d1 fe 00 00 00 00 9f 02 03 01 02 00 17 02 |
  3584. 00 00 00 01 00 00 00 9c f8 d1 fe 00 00 00 00 05 |
  3585. 20 d8 ff 02 00 17 02 00 00 00 01 00 00 00 c8 f8 |
  3586. d1 fe 00 00 00 00 00 00 00 00 02 00 17 02 00 00 |
  3587. 00 01 00 00 00 c4 f8 d1 fe 00 00 00 00 45 20 80 | E
  3588. 80 02 00 17 02 00 00 00 01 00 00 00 ac 80 0f e0 |
  3589. 00 00 00 00 00 00 00 00 03 00 13 01 00 00 00 28 | (
  3590. f4 d1 fe 00 00 00 00 1d 00 1f 00 02 00 15 01 00 |
  3591. 00 00 01 00 00 00 20 f4 d1 fe 00 00 00 00 00 00 |
  3592. 02 00 17 02 00 00 00 01 00 00 00 4c 00 0e e0 00 | L
  3593. 00 00 00 12 4c 31 01 02 00 17 02 00 00 00 01 00 | L1
  3594. 00 00 54 00 0e e0 00 00 00 00 60 00 04 00 02 00 | T `
  3595. 14 00 00 00 00 01 00 00 00 34 00 0e e0 00 00 00 | 4
  3596. 00 40 02 00 15 01 00 00 00 01 00 00 00 40 00 0e | @ @
  3597. e0 00 00 00 00 10 80 02 00 15 01 00 00 00 01 00 |
  3598. 00 00 44 00 0e e0 00 00 00 00 00 80 02 00 15 01 | D
  3599. 00 00 00 01 00 00 00 80 00 0e e0 00 00 00 00 05 |
  3600. 90 02 00 15 01 00 00 00 01 00 00 00 90 00 0e e0 |
  3601. 00 00 00 00 0d a0 02 00 17 02 00 00 00 01 00 00 |
  3602. 00 00 02 0e e0 00 00 00 00 1e 00 01 00 02 00 17 |
  3603. 02 00 00 00 01 00 00 00 04 02 0e e0 00 00 00 00 |
  3604. 1f 28 28 00 02 00 17 02 00 00 00 01 00 00 00 4c | (( L
  3605. 10 0e e0 00 00 00 00 12 3c 32 02 02 00 17 02 00 | <2
  3606. 00 00 01 00 00 00 54 10 0e e0 00 00 00 00 00 b2 | T
  3607. 0c 00 02 00 14 00 00 00 00 01 00 00 00 34 10 0e | 4
  3608. e0 00 00 00 00 40 02 00 15 01 00 00 00 01 00 00 | @
  3609. 00 40 10 0e e0 00 00 00 00 10 80 02 00 15 01 00 | @
  3610. 00 00 01 00 00 00 44 10 0e e0 00 00 00 00 00 80 | D
  3611. 02 00 15 01 00 00 00 01 00 00 00 80 10 0e e0 00 |
  3612. 00 00 00 05 90 02 00 15 01 00 00 00 01 00 00 00 |
  3613. 90 10 0e e0 00 00 00 00 0d a0 02 00 17 02 00 00 |
  3614. 00 01 00 00 00 00 12 0e e0 00 00 00 00 1e 00 01 |
  3615. 00 02 00 17 02 00 00 00 01 00 00 00 04 12 0e e0 |
  3616. 00 00 00 00 1f 28 28 00 02 00 17 02 00 00 00 01 | ((
  3617. 00 00 00 4c 20 0e e0 00 00 00 00 12 3c 32 03 02 | L <2
  3618. 00 17 02 00 00 00 01 00 00 00 54 20 0e e0 00 00 | T
  3619. 00 00 00 b2 14 00 02 00 14 00 00 00 00 01 00 00 |
  3620. 00 34 20 0e e0 00 00 00 00 40 02 00 15 01 00 00 | 4 @
  3621. 00 01 00 00 00 40 20 0e e0 00 00 00 00 10 80 02 | @
  3622. 00 15 01 00 00 00 01 00 00 00 44 20 0e e0 00 00 | D
  3623. 00 00 00 80 02 00 15 01 00 00 00 01 00 00 00 80 |
  3624. 20 0e e0 00 00 00 00 05 90 02 00 15 01 00 00 00 |
  3625. 01 00 00 00 90 20 0e e0 00 00 00 00 0d a0 02 00 |
  3626. 17 02 00 00 00 01 00 00 00 00 22 0e e0 00 00 00 | "
  3627. 00 1e 00 01 00 02 00 17 02 00 00 00 01 00 00 00 |
  3628. 04 22 0e e0 00 00 00 00 1f 28 28 00 02 00 17 02 | " ((
  3629. 00 00 00 01 00 00 00 4c 40 0e e0 00 00 00 00 42 | L@ B
  3630. 4c 32 05 02 00 17 02 00 00 00 01 00 00 00 54 40 | L2 T@
  3631. 0e e0 00 00 00 00 00 fd 24 00 02 00 14 00 00 00 | $
  3632. 00 01 00 00 00 34 40 0e e0 00 00 00 00 40 02 00 | 4@ @
  3633. 15 01 00 00 00 01 00 00 00 40 40 0e e0 00 00 00 | @@
  3634. 00 10 80 02 00 15 01 00 00 00 01 00 00 00 44 40 | D@
  3635. 0e e0 00 00 00 00 00 80 02 00 15 01 00 00 00 01 |
  3636. 00 00 00 80 40 0e e0 00 00 00 00 05 90 02 00 15 | @
  3637. 01 00 00 00 01 00 00 00 90 40 0e e0 00 00 00 00 | @
  3638. 0d a0 02 00 17 02 00 00 00 01 00 00 00 00 42 0e | B
  3639. e0 00 00 00 00 1e 00 01 00 02 00 17 02 00 00 00 |
  3640. 01 00 00 00 04 42 0e e0 00 00 00 00 1f 28 28 00 | B ((
  3641. 02 00 17 02 00 00 00 01 00 00 00 4c 50 0e e0 00 | LP
  3642. 00 00 00 42 3c 32 06 02 00 17 02 00 00 00 01 00 | B<2
  3643. 00 00 54 50 0e e0 00 00 00 00 00 fd 2c 00 02 00 | TP ,
  3644. 14 00 00 00 00 01 00 00 00 34 50 0e e0 00 00 00 | 4P
  3645. 00 40 02 00 15 01 00 00 00 01 00 00 00 40 50 0e | @ @P
  3646. e0 00 00 00 00 10 80 02 00 15 01 00 00 00 01 00 |
  3647. 00 00 44 50 0e e0 00 00 00 00 00 80 02 00 15 01 | DP
  3648. 00 00 00 01 00 00 00 80 50 0e e0 00 00 00 00 05 | P
  3649. 90 02 00 15 01 00 00 00 01 00 00 00 90 50 0e e0 | P
  3650. 00 00 00 00 0d a0 02 00 17 02 00 00 00 01 00 00 |
  3651. 00 00 52 0e e0 00 00 00 00 1e 00 01 00 02 00 17 | R
  3652. 02 00 00 00 01 00 00 00 04 52 0e e0 00 00 00 00 | R
  3653. 1f 28 28 00 02 00 14 00 00 00 00 01 00 00 00 40 | (( @
  3654. 80 0d e0 00 00 00 00 03 02 00 17 02 00 00 00 01 |
  3655. 00 00 00 80 de d1 fe 00 00 00 00 01 20 fc 00 02 |
  3656. 00 17 02 00 00 00 01 00 00 00 84 de d1 fe 00 00 |
  3657. 00 00 f0 00 00 00 02 00 17 02 00 00 00 01 00 00 |
  3658. 00 6c fa d1 fe 00 00 00 00 01 00 00 00 02 00 17 | l
  3659. 02 00 00 00 01 00 00 00 18 e6 d1 fe 00 00 00 00 |
  3660. 31 00 33 0a 02 00 17 02 00 00 00 01 00 00 00 90 | 1 3
  3661. f8 d1 fe 00 00 00 00 c0 04 42 f9 02 00 17 02 00 | B
  3662. 00 00 01 00 00 00 94 f8 d1 fe 00 00 00 00 06 06 |
  3663. 6c 3c 02 00 17 02 00 00 00 01 00 00 00 98 f8 d1 | l<
  3664. fe 00 00 00 00 9f 02 03 01 02 00 17 02 00 00 00 |
  3665. 01 00 00 00 9c f8 d1 fe 00 00 00 00 05 20 d8 ff |
  3666. 02 00 17 02 00 00 00 01 00 00 00 c4 f8 d1 fe 00 |
  3667. 00 00 00 45 20 80 80 02 00 17 02 00 00 00 01 00 | E
  3668. 00 00 c8 f8 d1 fe 00 00 00 00 00 00 00 00 02 00 |
  3669. 15 01 00 00 00 01 00 00 00 04 f8 d1 fe 00 00 00 |
  3670. 00 08 e0 02 00 17 02 00 00 00 01 00 00 00 98 a0 |
  3671. 0f e0 00 00 00 00 ff ff ff ff 02 00 17 02 00 00 |
  3672. 00 01 00 00 00 9c a0 0f e0 00 00 00 00 ff ff ff |
  3673. ff 00 00 17 02 00 00 00 01 00 00 00 04 20 00 00 |
  3674. 00 00 00 00 00 00 00 00 02 00 17 02 00 00 00 01 |
  3675. 00 00 00 c4 f8 d1 fe 00 00 00 00 45 20 80 80 02 | E
  3676. 00 14 00 00 00 00 01 00 00 00 a6 80 0f e0 00 00 |
  3677. 00 00 06 02 00 17 02 00 00 00 01 00 00 00 10 f4 |
  3678. d1 fe 00 00 00 00 21 00 00 00 09 00 2f 02 00 00 | ! /
  3679. 00 10 f4 d1 fe 00 00 00 00 21 00 00 00 00 00 00 | !
  3680. 00 21 00 00 00 00 00 00 00 01 00 00 00 00 00 00 | !
  3681. 00 01 00 00 00 00 00 00 00 01 00 13 01 00 00 00 |
  3682. 68 18 00 00 00 00 00 00 00 10 ff ff 02 00 15 01 | h
  3683. 00 00 00 01 00 00 00 04 00 0a e0 00 00 00 00 06 |
  3684. 00 02 00 17 02 00 00 00 01 00 00 00 44 00 0a e0 | D
  3685. 00 00 00 00 89 c6 0f 80 02 00 17 02 00 00 00 01 |
  3686. 00 00 00 40 00 0a e0 00 00 00 00 fd 01 36 80 02 | @ 6
  3687. 00 15 01 00 00 00 01 00 00 00 04 00 01 e0 00 00 |
  3688. 00 00 07 00 02 00 17 02 00 00 00 01 00 00 00 e8 |
  3689. 00 01 e0 00 00 00 00 00 80 00 00 02 00 17 02 00 |
  3690. 00 00 01 00 00 00 fc 00 01 e0 00 00 00 00 90 31 | 1
  3691. d0 8a 02 00 17 02 00 00 00 01 00 00 00 14 00 01 |
  3692. e0 00 00 00 00 00 00 00 00 02 00 17 02 00 00 00 |
  3693. 01 00 00 00 10 00 01 e0 00 00 00 00 04 00 00 c0 |
  3694. 02 00 17 02 00 00 00 01 00 00 00 1c 00 01 e0 00 |
  3695. 00 00 00 00 00 00 00 02 00 17 02 00 00 00 01 00 |
  3696. 00 00 18 00 01 e0 00 00 00 00 0c 00 00 b0 02 00 |
  3697. 17 02 00 00 00 01 00 00 00 20 00 01 e0 00 00 00 |
  3698. 00 01 30 00 00 02 00 17 02 00 00 00 01 00 00 00 | 0
  3699. 04 00 01 e0 00 00 00 00 07 00 90 00 02 00 14 00 |
  3700. 00 00 00 01 00 00 00 80 00 00 e0 00 00 00 00 00 |
  3701. 02 00 14 00 00 00 00 01 00 00 00 81 00 00 e0 00 |
  3702. 00 00 00 00 02 00 14 00 00 00 00 01 00 00 00 82 |
  3703. 00 00 e0 00 00 00 00 00 02 00 14 00 00 00 00 01 |
  3704. 00 00 00 83 00 00 e0 00 00 00 00 00 02 00 14 00 |
  3705. 00 00 00 01 00 00 00 84 00 00 e0 00 00 00 00 00 |
  3706. 02 00 14 00 00 00 00 01 00 00 00 85 00 00 e0 00 |
  3707. 00 00 00 00 02 00 14 00 00 00 00 01 00 00 00 86 |
  3708. 00 00 e0 00 00 00 00 00 02 00 14 00 00 00 00 01 |
  3709. 00 00 00 08 00 00 e0 00 00 00 00 17 03 00 17 02 |
  3710. 00 00 00 50 00 00 e0 00 00 00 00 01 00 00 00 ff | P
  3711. ff ff ff 03 00 17 02 00 00 00 5c 00 00 e0 00 00 | \
  3712. 00 00 01 00 00 00 ff ff ff ff 03 00 17 02 00 00 |
  3713. 00 78 00 00 e0 00 00 00 00 00 04 00 00 ff ff ff | x
  3714. ff 03 00 17 02 00 00 00 90 00 00 e0 00 00 00 00 |
  3715. 01 00 00 00 ff ff ff ff 03 00 17 02 00 00 00 98 |
  3716. 00 00 e0 00 00 00 00 01 00 00 00 ff ff ff ff 03 |
  3717. 00 17 02 00 00 00 a0 00 00 e0 00 00 00 00 01 00 |
  3718. 00 00 ff ff ff ff 03 00 17 02 00 00 00 a8 00 00 |
  3719. e0 00 00 00 00 01 00 00 00 ff ff ff ff 03 00 17 |
  3720. 02 00 00 00 b0 00 00 e0 00 00 00 00 01 00 00 00 |
  3721. ff ff ff ff 03 00 17 02 00 00 00 b4 00 00 e0 00 |
  3722. 00 00 00 01 00 00 00 ff ff ff ff 03 00 17 02 00 |
  3723. 00 00 b8 00 00 e0 00 00 00 00 01 00 00 00 ff ff |
  3724. ff ff 03 00 17 02 00 00 00 bc 00 00 e0 00 00 00 |
  3725. 00 01 00 00 00 ff ff ff ff 03 00 17 02 00 00 00 |
  3726. 88 00 00 e0 00 00 00 00 10 00 00 00 ff ff ff ff |
  3727. 03 00 17 02 00 00 00 00 55 d1 fe 00 00 00 00 01 | U
  3728. 00 00 00 ff ff ff ff 03 00 17 02 00 00 00 fc 50 | P
  3729. d1 fe 00 00 00 00 8f 00 00 00 ff ff ff ff 03 00 |
  3730. 17 02 00 00 00 00 70 d1 fe 00 00 00 00 00 00 00 | p
  3731. 80 ff ff ff ff 03 00 17 02 00 00 00 fc 77 d1 fe | w
  3732. 00 00 00 00 01 00 00 00 ff ff ff ff 03 00 17 02 |
  3733. 00 00 00 fc 7f d1 fe 00 00 00 00 01 00 00 00 ff |
  3734. ff ff ff 03 00 17 02 00 00 00 00 68 d1 fe 00 00 | h
  3735. 00 00 00 00 00 80 ff ff ff ff 03 00 17 02 00 00 |
  3736. 00 20 60 d1 fe 00 00 00 00 01 00 00 00 ff ff ff | `
  3737. ff 03 00 17 02 00 00 00 fc 63 d1 fe 00 00 00 00 | c
  3738. 01 00 00 00 ff ff ff ff 03 00 17 02 00 00 00 08 |
  3739. 60 d1 fe 00 00 00 00 00 00 00 00 ff ff ff ff 03 | `
  3740. 00 17 02 00 00 00 30 60 d1 fe 00 00 00 00 00 00 | 0`
  3741. 00 00 ff ff ff ff 03 00 17 02 00 00 00 34 60 d1 | 4`
  3742. fe 00 00 00 00 00 00 00 00 ff ff ff ff 03 00 17 |
  3743. 02 00 00 00 80 58 d1 fe 00 00 00 00 20 00 00 00 | X
  3744. ff ff ff ff 02 00 17 02 00 00 00 01 00 00 00 88 |
  3745. a1 00 c0 00 00 00 00 01 00 01 00 09 00 2f 02 00 | /
  3746. 00 00 44 00 13 c0 00 00 00 00 01 00 00 00 00 00 | D
  3747. 00 00 01 00 00 00 00 00 00 00 32 00 00 00 00 00 | 2
  3748. 00 00 60 ea 00 00 00 00 00 00 02 00 17 02 00 00 | `
  3749. 00 01 00 00 00 58 00 00 e0 00 00 00 00 07 00 f0 | X
  3750. 8f 02 00 17 02 00 00 00 01 00 00 00 88 a1 00 c0 |
  3751. 00 00 00 00 00 00 01 00 09 00 2f 02 00 00 00 44 | / D
  3752. 00 13 c0 00 00 00 00 01 00 00 00 00 00 00 00 00 |
  3753. 00 00 00 00 00 00 00 32 00 00 00 00 00 00 00 60 | 2 `
  3754. ea 00 00 00 00 00 00 08 00 0b 2d 9f e2 ff 00 00 | -
  3755. 00 00 ff 00 03 |
  3756. [*] Checking entry-points of Dispatch opcodes..
  3757. [+] Dispatch opcode (off 0x7467) with entry-point 0x00000000FFE29F2D > PROTECTED
  3758. [*] Found 1 Dispatch opcodes
  3759. [!] S3 boot-script is not in protected memory but didn't find unprotected Dispatch entry-points
  3760.  
  3761. [!] WARNING: S3 Boot-Script is not in SMRAM but Dispatch entry-points appear to be protected. Recommend further testing
  3762. [!] Additional testing of the S3 boot-script can be done using tools.uefi.s3script_modify
  3763.  
  3764. [*] running module: chipsec.modules.common.secureboot.variables
  3765. [+] imported: chipsec.modules.common.secureboot.variables
  3766. [*] Module path: /home/liveuser/chipsec/chipsec/modules/common/secureboot/variables.pyc
  3767. [x][ =======================================================================
  3768. [x][ Module: Attributes of Secure Boot EFI Variables
  3769. [x][ =======================================================================
  3770. [!] Secure Boot variable SecureBoot is not found
  3771. [!] Secure Boot variable SetupMode is not found
  3772. [!] Secure Boot variable PK is not found
  3773. [!] Secure Boot variable KEK is not found
  3774. [!] Secure Boot variable db is not found
  3775. [!] Secure Boot variable dbx is not found
  3776.  
  3777. [*] Secure Boot appears to be disabled
  3778. [*] NOT IMPLEMENTED: None of required Secure Boot variables found. Secure Boot is not enabled
  3779.  
  3780. [*] running module: chipsec.modules.memconfig
  3781. [+] imported: chipsec.modules.memconfig
  3782. [*] Module path: /home/liveuser/chipsec/chipsec/modules/memconfig.pyc
  3783. [x][ =======================================================================
  3784. [x][ Module: Host Bridge Memory Map Locks
  3785. [x][ =======================================================================
  3786. [pci] reading B/D/F: 0/0/0, offset: 0xB0, value: 0x8C000001
  3787. [+] PCI0.0.0_BDSM = 0x000000008C000001 - LOCKED - Base of Graphics Stolen Memory
  3788. [pci] reading B/D/F: 0/0/0, offset: 0xB4, value: 0x8B800001
  3789. [+] PCI0.0.0_BGSM = 0x000000008B800001 - LOCKED - Base of GTT Stolen Memory
  3790. [pci] reading B/D/F: 0/0/0, offset: 0x5C, value: 0x8B000001
  3791. [+] PCI0.0.0_DPR = 0x000000008B000001 - LOCKED - DMA Protected Range
  3792. [pci] reading B/D/F: 0/0/0, offset: 0x50, value: 0x02C1
  3793. [+] PCI0.0.0_GGC = 0x00000000000002C1 - LOCKED - Graphics Control
  3794. [pci] reading B/D/F: 0/0/0, offset: 0x7C, value: 0x0000007F
  3795. [pci] reading B/D/F: 0/0/0, offset: 0x78, value: 0xFF000C00
  3796. [+] PCI0.0.0_MESEG_MASK = 0x0000007FFF000C00 - LOCKED - Manageability Engine Limit Address Register
  3797. [pci] reading B/D/F: 0/0/0, offset: 0x58, value: 0x8FF00007
  3798. [+] PCI0.0.0_PAVPC = 0x000000008FF00007 - LOCKED - PAVP Configuration
  3799. [pci] reading B/D/F: 0/0/0, offset: 0x94, value: 0x00000001
  3800. [pci] reading B/D/F: 0/0/0, offset: 0x90, value: 0x00000001
  3801. [+] PCI0.0.0_REMAPBASE = 0x0000000100000001 - LOCKED - Memory Remap Base Address
  3802. [pci] reading B/D/F: 0/0/0, offset: 0x9C, value: 0x00000001
  3803. [pci] reading B/D/F: 0/0/0, offset: 0x98, value: 0x6EF00001
  3804. [+] PCI0.0.0_REMAPLIMIT = 0x000000016EF00001 - LOCKED - Memory Remap Limit Address
  3805. [pci] reading B/D/F: 0/0/0, offset: 0xBC, value: 0x90000001
  3806. [+] PCI0.0.0_TOLUD = 0x0000000090000001 - LOCKED - Top of Low Usable DRAM
  3807. [pci] reading B/D/F: 0/0/0, offset: 0xA4, value: 0x00000001
  3808. [pci] reading B/D/F: 0/0/0, offset: 0xA0, value: 0x00000001
  3809. [+] PCI0.0.0_TOM = 0x0000000100000001 - LOCKED - Top of Memory
  3810. [pci] reading B/D/F: 0/0/0, offset: 0xAC, value: 0x00000001
  3811. [pci] reading B/D/F: 0/0/0, offset: 0xA8, value: 0x6F000001
  3812. [+] PCI0.0.0_TOUUD = 0x000000016F000001 - LOCKED - Top of Upper Usable DRAM
  3813. [pci] reading B/D/F: 0/0/0, offset: 0xB8, value: 0x8B000001
  3814. [+] PCI0.0.0_TSEGMB = 0x000000008B000001 - LOCKED - TSEG Memory Base
  3815. [+] PASSED: All memory map registers seem to be locked down
  3816.  
  3817. [*] running module: chipsec.modules.remap
  3818. [+] imported: chipsec.modules.remap
  3819. [*] Module path: /home/liveuser/chipsec/chipsec/modules/remap.pyc
  3820. [x][ =======================================================================
  3821. [x][ Module: Memory Remapping Configuration
  3822. [x][ =======================================================================
  3823. [pci] reading B/D/F: 0/0/0, offset: 0x94, value: 0x00000001
  3824. [pci] reading B/D/F: 0/0/0, offset: 0x90, value: 0x00000001
  3825. [pci] reading B/D/F: 0/0/0, offset: 0x9C, value: 0x00000001
  3826. [pci] reading B/D/F: 0/0/0, offset: 0x98, value: 0x6EF00001
  3827. [pci] reading B/D/F: 0/0/0, offset: 0xAC, value: 0x00000001
  3828. [pci] reading B/D/F: 0/0/0, offset: 0xA8, value: 0x6F000001
  3829. [pci] reading B/D/F: 0/0/0, offset: 0xBC, value: 0x90000001
  3830. [pci] reading B/D/F: 0/0/0, offset: 0xB8, value: 0x8B000001
  3831. [*] Registers:
  3832. [*] TOUUD : 0x000000016F000001
  3833. [*] REMAPLIMIT: 0x000000016EF00001
  3834. [*] REMAPBASE : 0x0000000100000001
  3835. [*] TOLUD : 0x90000001
  3836. [*] TSEGMB : 0x8B000001
  3837.  
  3838. [*] Memory Map:
  3839. [*] Top Of Upper Memory: 0x000000016F000000
  3840. [*] Remap Limit Address: 0x000000016EFFFFFF
  3841. [*] Remap Base Address : 0x0000000100000000
  3842. [*] 4GB : 0x0000000100000000
  3843. [*] Top Of Low Memory : 0x0000000090000000
  3844. [*] TSEG (SMRAM) Base : 0x000000008B000000
  3845.  
  3846. [*] checking memory remap configuration..
  3847. [*] Memory Remap is enabled
  3848. [+] Remap window configuration is correct: REMAPBASE <= REMAPLIMIT < TOUUD
  3849. [+] All addresses are 1MB aligned
  3850. [*] checking if memory remap configuration is locked..
  3851. [+] TOUUD is locked
  3852. [+] TOLUD is locked
  3853. [+] REMAPBASE and REMAPLIMIT are locked
  3854. [+] PASSED: Memory Remap is configured correctly and locked
  3855.  
  3856. [*] running module: chipsec.modules.debugenabled
  3857. [+] imported: chipsec.modules.debugenabled
  3858. [*] Module path: /home/liveuser/chipsec/chipsec/modules/debugenabled.pyc
  3859. [x][ =======================================================================
  3860. [x][ Module: Debug features test
  3861. [x][ =======================================================================
  3862. [X] Checking IA32_DEBUG_INTERFACE msr status
  3863. [cpu] # of logical CPUs: 4
  3864. [cpu0] RDMSR( 0xc80 ): EAX = 0x40000000, EDX = 0x00000000
  3865. [cpu1] RDMSR( 0xc80 ): EAX = 0x40000000, EDX = 0x00000000
  3866. [cpu2] RDMSR( 0xc80 ): EAX = 0x40000000, EDX = 0x00000000
  3867. [cpu3] RDMSR( 0xc80 ): EAX = 0x40000000, EDX = 0x00000000
  3868. [+] CPU IA32_DEBUG_INTERFACE is disabled
  3869. [+] PASSED: All checks have successfully passed
  3870.  
  3871. [*] running module: chipsec.modules.smm_dma
  3872. [+] imported: chipsec.modules.smm_dma
  3873. [*] Module path: /home/liveuser/chipsec/chipsec/modules/smm_dma.pyc
  3874. [x][ =======================================================================
  3875. [x][ Module: SMM TSEG Range Configuration Check
  3876. [x][ =======================================================================
  3877. [pci] reading B/D/F: 0/0/0, offset: 0xB8, value: 0x8B000001
  3878. [pci] reading B/D/F: 0/0/0, offset: 0xB4, value: 0x8B800001
  3879. [*] TSEG : 0x000000008B000000 - 0x000000008B7FFFFF (size = 0x00800000)
  3880. [cpu] CPUID in : EAX=0x00000001, ECX=0x00000000
  3881. [cpu] CPUID out: EAX=0x000306D4, EBX=0x03100800, ECX=0x7FFAFBBF, EDX=0xBFEBFBFF
  3882. [cpu0] RDMSR( 0xfe ): EAX = 0x00000D0A, EDX = 0x00000000
  3883. [*] MTRRCAP = 0x00000D0A << MTRR Capabilities MSR (MSR 0xFE)
  3884. [11] SMRR = 1 << SMRR Supported
  3885. [cpu0] RDMSR( 0x1f2 ): EAX = 0x8B000006, EDX = 0x00000000
  3886. [cpu0] RDMSR( 0x1f3 ): EAX = 0xFF800800, EDX = 0x00000000
  3887. [*] SMRR range: 0x000000008B000000 - 0x000000008B7FFFFF (size = 0x00800000)
  3888.  
  3889. [*] checking TSEG range configuration..
  3890. [+] TSEG range covers entire SMRAM
  3891. [pci] reading B/D/F: 0/0/0, offset: 0xB8, value: 0x8B000001
  3892. [pci] reading B/D/F: 0/0/0, offset: 0xB4, value: 0x8B800001
  3893. [+] TSEG range is locked
  3894. [+] PASSED: TSEG is properly configured. SMRAM is protected from DMA attacks
  3895.  
  3896. [CHIPSEC] *************************** SUMMARY ***************************
  3897. [CHIPSEC] Time elapsed 0.624
  3898. [CHIPSEC] Modules total 23
  3899. [CHIPSEC] Modules failed to run 0:
  3900. [CHIPSEC] Modules passed 13:
  3901. [+] PASSED: chipsec.modules.common.memlock
  3902. [+] PASSED: chipsec.modules.common.ia32cfg
  3903. [+] PASSED: chipsec.modules.common.smrr
  3904. [+] PASSED: chipsec.modules.common.spi_lock
  3905. [+] PASSED: chipsec.modules.common.smm
  3906. [+] PASSED: chipsec.modules.common.spi_fdopss
  3907. [+] PASSED: chipsec.modules.common.bios_ts
  3908. [+] PASSED: chipsec.modules.common.spi_desc
  3909. [+] PASSED: chipsec.modules.common.bios_kbrd_buffer
  3910. [+] PASSED: chipsec.modules.memconfig
  3911. [+] PASSED: chipsec.modules.remap
  3912. [+] PASSED: chipsec.modules.debugenabled
  3913. [+] PASSED: chipsec.modules.smm_dma
  3914. [CHIPSEC] Modules information 0:
  3915. [CHIPSEC] Modules failed 4:
  3916. [-] FAILED: chipsec.modules.common.bios_wp
  3917. [-] FAILED: chipsec.modules.common.bios_smi
  3918. [-] FAILED: chipsec.modules.common.me_mfg_mode
  3919. [-] FAILED: chipsec.modules.common.uefi.access_uefispec
  3920. [CHIPSEC] Modules with warnings 4:
  3921. [!] WARNING: chipsec.modules.common.rtclock
  3922. [!] WARNING: chipsec.modules.common.spi_access
  3923. [!] WARNING: chipsec.modules.common.cpu.spectre_v2
  3924. [!] WARNING: chipsec.modules.common.uefi.s3bootscript
  3925. [CHIPSEC] Modules not implemented 1:
  3926. [*] NOT IMPLEMENTED: chipsec.modules.common.secureboot.variables
  3927. [CHIPSEC] Modules not applicable 1:
  3928. [*] NOT APPLICABLE: chipsec.modules.common.sgx_check
  3929. [CHIPSEC] *****************************************************************
  3930. [helper] Linux Helper stopped/unloaded
  3931. [helper] Linux Helper deleted
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement