Advertisement
Guest User

Untitled

a guest
Nov 22nd, 2019
101
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.44 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity counter_test is
  5. end entity;
  6.  
  7. architecture STRUCT of counter_test is
  8. component COUNTE
  9. port(x, clk: in std_logic;
  10. z: out std_logic_vector(3 downto 0) := (others => '0'));
  11. end component;
  12. signal x, clk: std_logic := '0';
  13. signal z: std_logic_vector(3 downto 0);
  14. begin
  15. x <= not x after 200 ns;
  16. clk <= not clk after 10 ns;
  17. COUNTER: COUNTE port map(x, clk, z);
  18. end architecture;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement