Advertisement
Guest User

multiplekser poprawiony

a guest
Jan 22nd, 2020
98
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.40 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.STD_LOGIC_1164.ALL;
  3.  
  4. entity multiplekser is
  5. Port(U:in STD_LOGIC;
  6. clk10: in STD_LOGIC;
  7. clk1:in STD_LOGIC;
  8. Sl:in STD_LOGIC;
  9. U10:in STD_LOGIC;
  10. x:out STD_LOGIC);
  11. end multiplekser;
  12. architecture multi of multiplekser is
  13. begin
  14. x<=(not U10 and not Sl and not U)
  15. or (not U10 and Sl and clk1)
  16. or (U10 and not Sl and clk10)
  17. or (U10 and Sl and clk1);
  18. end multi;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement