Guest User

Untitled

a guest
Jul 31st, 2018
86
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VeriLog 30.97 KB | None | 0 0
  1. module Scanning(
  2.          input wire clk ,
  3.          input wire [20:0] led7 , led6 , led5 , led4 , led3 , led2 , led1 , led0 ,
  4.          output reg [7:0] SelectLED ,  
  5.          output reg [63:0] LED  
  6.                     ) ;  
  7.          localparam N = 18;  
  8.          reg [N-1:0] q_reg;  
  9.          wire [N-1:0] q_next;  
  10.          reg [20:0] led_in;  
  11.    
  12.          always@(posedge clk)  
  13.                     q_reg <= q_next;
  14.  
  15.          assign q_next = q_reg + 1;  
  16.  
  17.          always@*  
  18.          case(q_reg [N-1:N-3])
  19.          0:
  20.             begin  
  21.                 SelectLED = 8'b1111_1110;
  22.                 led_in = led0;  
  23.             end  
  24.          1:
  25.             begin  
  26.                 SelectLED = 8'b1111_1101;
  27.                 led_in = led1;  
  28.             end  
  29.          2:
  30.             begin  
  31.                 SelectLED = 8'b1111_1011;
  32.                 led_in = led2;  
  33.             end  
  34.          3:
  35.             begin  
  36.                 SelectLED = 8'b1111_0111;
  37.                 led_in = led3;  
  38.             end  
  39.          4:
  40.             begin  
  41.                 SelectLED = 8'b1110_1111;
  42.                 led_in = led4;  
  43.             end  
  44.          5:
  45.             begin  
  46.                 SelectLED = 8'b1101_1111;
  47.                 led_in = led5;  
  48.             end  
  49.          6:
  50.             begin  
  51.                 SelectLED = 8'b1011_1111;
  52.                 led_in = led6;  
  53.             end  
  54.          default :  
  55.             begin  
  56.                 SelectLED = 8'b0111_1111;
  57.                 led_in = led7;
  58.            end
  59.          endcase    
  60.        
  61.          always@*  
  62.          begin  
  63.             case  (led_in)
  64.                 0:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  65.                 1:  LED [63:0]  =  64'b11111111_11111111_11111111_11111111_11111111_11111111_11111111_11111111;
  66.                
  67.                 2:  LED [63:0]  =  64'b11111111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  68.                 3:  LED [63:0]  =  64'b00000000_11111111_00000000_00000000_00000000_00000000_00000000_00000000;
  69.                 4:  LED [63:0]  =  64'b00000000_00000000_11111111_00000000_00000000_00000000_00000000_00000000;
  70.                 5:  LED [63:0]  =  64'b00000000_00000000_00000000_11111111_00000000_00000000_00000000_00000000;
  71.                 6:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_11111111_00000000_00000000_00000000;
  72.                 7:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_11111111_00000000_00000000;
  73.                 8:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_11111111_00000000;
  74.                 9:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111111;
  75.                
  76.                 10:  LED [63:0]  =  64'b10000000_10000000_10000000_10000000_10000000_10000000_10000000_10000000;
  77.                 11:  LED [63:0]  =  64'b01000000_01000000_01000000_01000000_01000000_01000000_01000000_01000000;
  78.                 12:  LED [63:0]  =  64'b00100000_00100000_00100000_00100000_00100000_00100000_00100000_00100000;
  79.                 13:  LED [63:0]  =  64'b00010000_00010000_00010000_00010000_00010000_00010000_00010000_00010000;
  80.                 14:  LED [63:0]  =  64'b00001000_00001000_00001000_00001000_00001000_00001000_00001000_00001000;
  81.                 15:  LED [63:0]  =  64'b00000100_00000100_00000100_00000100_00000100_00000100_00000100_00000100;
  82.                 16:  LED [63:0]  =  64'b00000010_00000010_00000010_00000010_00000010_00000010_00000010_00000010;
  83.                 17:  LED [63:0]  =  64'b00000001_00000001_00000001_00000001_00000001_00000001_00000001_00000001;
  84.                
  85.                 20:  LED [63:0]  =  64'b11111111_11111111_00000000_00000000_00000000_00000000_00000000_00000000;
  86.                 21:  LED [63:0]  =  64'b11111111_11111111_11111111_00000000_00000000_00000000_00000000_00000000;
  87.                 22:  LED [63:0]  =  64'b11111111_11111111_11111111_11111111_00000000_00000000_00000000_00000000;
  88.                 23:  LED [63:0]  =  64'b11111111_11111111_11111111_11111111_11111111_00000000_00000000_00000000;
  89.                 24:  LED [63:0]  =  64'b11111111_11111111_11111111_11111111_11111111_11111111_00000000_00000000;
  90.                 25:  LED [63:0]  =  64'b11111111_11111111_11111111_11111111_11111111_11111111_11111111_00000000;
  91.                 26:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_11111111_11111111;
  92.                 27:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_11111111_11111111_11111111;
  93.                 28:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_11111111_11111111_11111111_11111111;
  94.                 29:  LED [63:0]  =  64'b00000000_00000000_00000000_11111111_11111111_11111111_11111111_11111111;
  95.                 30:  LED [63:0]  =  64'b00000000_00000000_11111111_11111111_11111111_11111111_11111111_11111111;
  96.                 31:  LED [63:0]  =  64'b00000000_11111111_11111111_11111111_11111111_11111111_11111111_11111111;
  97.                
  98.                 32:  LED [63:0]  =  64'b11111111_11011111_11111111_11111111_11111011_11111111_11101111_11111111;
  99.                 33:  LED [63:0]  =  64'b00000000_00100000_00000000_00000000_00000100_00000000_00010000_00000000;
  100.                 34:  LED [63:0]  =  64'b01111111_11011111_11111011_11111111_11111011_11011111_11101111_11111101;
  101.                 35:  LED [63:0]  =  64'b10000000_00000000_00000100_00000000_00000000_00100000_00000000_00000010;
  102.                 36:  LED [63:0]  =  64'b10000000_00100000_00000100_00000000_00000100_00100000_00010000_00000010;
  103.                 37:  LED [63:0]  =  64'b01110111_11011111_11111011_11011111_11111011_11011111_11101111_11111001;
  104.                 38:  LED [63:0]  =  64'b00001000_00000000_00000000_00100000_00000100_00000000_00000000_00000100;
  105.                 39:  LED [63:0]  =  64'b10001000_00100000_00000100_00100000_00000100_00100000_00010000_00000110;
  106.                 40:  LED [63:0]  =  64'b01110101_11011101_11111001_11011011_11110011_11010111_11101011_11101001;
  107.                 41:  LED [63:0]  =  64'b00000010_00100010_00000000_00100100_00001000_00101000_00010100_00010000;
  108.                 42:  LED [63:0]  =  64'b10001010_00100010_00000100_00100100_00001100_00101000_00010100_00010110;
  109.                 43:  LED [63:0]  =  64'b00110101_11010101_11011001_11010010_01110010_11010101_10101011_11101001;
  110.                 44:  LED [63:0]  =  64'b11001000_00001000_00100110_00001001_10000101_00000010_01000000_00000000;
  111.                 45:  LED [63:0]  =  64'b11001010_00101010_00100110_00101101_10001101_00101010_01010100_00010110;
  112.                 46:  LED [63:0]  =  64'b00100000_10010001_10011000_01010000_00110010_01010001_00101011_11101000;
  113.                 47:  LED [63:0]  =  64'b11000000_01100110_01000001_10100110_01001000_10101100_10010100_00010001;
  114.                 48:  LED [63:0]  =  64'b11001010_01101010_01100111_10101111_11001101_10101110_11010100_00010111;
  115.                
  116.                 50:  LED [63:0]  =  64'b11111111_10000001_10000001_10000001_10000001_10000001_10000001_11111111;
  117.                 51:  LED [63:0]  =  64'b10000001_00000000_00000000_00000000_00000000_00000000_00000000_10000001;
  118.                 52:  LED [63:0]  =  64'b00000000_01111110_01000010_01000010_01000010_01000010_01111110_00000000;
  119.                 53:  LED [63:0]  =  64'b00000000_01000010_00000000_00000000_00000000_00000000_01000010_00000000;
  120.                 54:  LED [63:0]  =  64'b00000000_00000000_00111100_00100100_00100100_00111100_00000000_00000000;
  121.                 55:  LED [63:0]  =  64'b00000000_00000000_00100100_00000000_00000000_00100100_00000000_00000000;
  122.                 56:  LED [63:0]  =  64'b00000000_00000000_00000000_00011000_00011000_00000000_00000000_00000000;               
  123.                
  124.                 57:  LED [63:0]  =  64'b11111110_10000010_10000010_10000010_10000010_10000010_11111110_00000000;               
  125.                 58:  LED [63:0]  =  64'b10000010_00000000_00000000_00000000_00000000_00000000_10000010_00000000;
  126.                 59:  LED [63:0]  =  64'b11111100_10000100_10000100_10000100_10000100_11111100_00000000_00000000;               
  127.                 60:  LED [63:0]  =  64'b10000100_00000000_00000000_00000000_00000000_10000100_00000000_00000000;
  128.                 61:  LED [63:0]  =  64'b11111000_10001000_10001000_10001000_11111000_00000000_00000000_00000000;               
  129.                 62:  LED [63:0]  =  64'b10001000_00000000_00000000_00000000_10001000_00000000_00000000_00000000;
  130.                 63:  LED [63:0]  =  64'b11110000_10010000_10010000_11110000_00000000_00000000_00000000_00000000;               
  131.                 64:  LED [63:0]  =  64'b10010000_00000000_00000000_10010000_00000000_00000000_00000000_00000000;
  132.                 65:  LED [63:0]  =  64'b11100000_10100000_11100000_00000000_00000000_00000000_00000000_00000000;               
  133.                 66:  LED [63:0]  =  64'b10100000_00000000_10100000_00000000_00000000_00000000_00000000_00000000;
  134.                 67:  LED [63:0]  =  64'b11000000_11000000_00000000_00000000_00000000_00000000_00000000_00000000;
  135.                
  136.                 69:  LED [63:0]  =  64'b01111111_01000001_01000001_01000001_01000001_01000001_01111111_00000000;               
  137.                 70:  LED [63:0]  =  64'b01000001_00000000_00000000_00000000_00000000_00000000_01000001_00000000;
  138.                 71:  LED [63:0]  =  64'b00111111_00100001_00100001_00100001_00100001_00111111_00000000_00000000;               
  139.                 72:  LED [63:0]  =  64'b00100001_00000000_00000000_00000000_00000000_00100001_00000000_00000000;
  140.                 73:  LED [63:0]  =  64'b00001111_00010001_00010001_00010001_00011111_00000000_00000000_00000000;               
  141.                 74:  LED [63:0]  =  64'b00010001_00000000_00000000_00000000_00010001_00000000_00000000_00000000;
  142.                 75:  LED [63:0]  =  64'b00001111_00001001_00001001_00001111_00000000_00000000_00000000_00000000;               
  143.                 76:  LED [63:0]  =  64'b00001001_00000000_00000000_00001001_00000000_00000000_00000000_00000000;
  144.                 77:  LED [63:0]  =  64'b00000111_00000101_00000111_00000000_00000000_00000000_00000000_00000000;               
  145.                 78:  LED [63:0]  =  64'b00000101_00000000_00000101_00000000_00000000_00000000_00000000_00000000;
  146.                 79:  LED [63:0]  =  64'b00000011_00000011_00000000_00000000_00000000_00000000_00000000_00000000;               
  147.                
  148.                 81:  LED [63:0]  =  64'b00000000_01111111_01000001_01000001_01000001_01000001_01000001_01111111;               
  149.                 82:  LED [63:0]  =  64'b00000000_01000001_00000000_00000000_00000000_00000000_00000000_01000001;
  150.                 83:  LED [63:0]  =  64'b00000000_00000000_00111111_00100001_00100001_00100001_00100001_00111111;               
  151.                 84:  LED [63:0]  =  64'b00000000_00000000_00100001_00000000_00000000_00000000_00000000_00100001;
  152.                 85:  LED [63:0]  =  64'b00000000_00000000_00000000_00001111_00010001_00010001_00010001_00011111;               
  153.                 86:  LED [63:0]  =  64'b00000000_00000000_00000000_00010001_00000000_00000000_00000000_00010001;
  154.                 87:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00001111_00001001_00001001_00001111;               
  155.                 88:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00001001_00000000_00000000_00001001;
  156.                 89:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000111_00000101_00000111;               
  157.                 90:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000101_00000000_00000101;
  158.                 91:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000011_00000011;               
  159.                
  160.                 93:  LED [63:0]  =  64'b00000000_11111110_10000010_10000010_10000010_10000010_10000010_11111110;               
  161.                 94:  LED [63:0]  =  64'b00000000_10000010_00000000_00000000_00000000_00000000_00000000_10000010;
  162.                 95:  LED [63:0]  =  64'b00000000_00000000_11111100_10000100_10000100_10000100_10000100_11111100;               
  163.                 96:  LED [63:0]  =  64'b00000000_00000000_10000100_00000000_00000000_00000000_00000000_10000100;
  164.                 97:  LED [63:0]  =  64'b00000000_00000000_00000000_11111000_10001000_10001000_10001000_11111000;               
  165.                 98:  LED [63:0]  =  64'b00000000_00000000_00000000_10001000_00000000_00000000_00000000_10001000;
  166.                 99:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_11110000_10010000_10010000_11110000;               
  167.                 100:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_10010000_00000000_00000000_10010000;
  168.                 101:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_11100000_10100000_11100000;              
  169.                 102:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_10100000_00000000_10100000;
  170.                 103:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_11000000_11000000;              
  171.                
  172.                 150:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_10000010_00000000;
  173.                 151:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_11000010_00000000;
  174.                 152:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_11100010_00000000;
  175.                 153:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_01110010_00000000;
  176.                 154:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00111010_00000000;
  177.                 155:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00011110_00000000;
  178.                 156:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000010_00000000;
  179.                 157:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00001110_00000000;
  180.                 158:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000110_00000000;
  181.                 159:  LED [63:0]  =  64'b00000000_00010000_00000000_00000000_00000000_00000000_00000010_00000000;
  182.                 160:  LED [63:0]  =  64'b00000000_00010000_00000000_00000000_00000000_00000000_00000110_00000000;
  183.                 161:  LED [63:0]  =  64'b00000000_00010000_00000000_00000000_00000000_00000000_00001110_00000000;
  184.                 162:  LED [63:0]  =  64'b00000000_00010000_00000000_00000000_00000000_00000000_00011110_00000000;
  185.                 163:  LED [63:0]  =  64'b00000000_00010000_00000000_00000000_00000000_00010000_00011110_00000000;
  186.                 164:  LED [63:0]  =  64'b00000000_00010000_00000000_00000000_00010000_00010000_00011100_00000000;
  187.                 165:  LED [63:0]  =  64'b00000000_00010000_00000000_00010000_00010000_00010000_00011000_00000000;
  188.                 166:  LED [63:0]  =  64'b00000000_00010000_00010000_00010000_00010000_00010000_00010000_00000000;
  189.                 1666:  LED [63:0]  =  64'b00000000_00010000_00010000_00010000_00010000_00010000_00000000_00000000;
  190.                 167:  LED [63:0]  =  64'b00000000_00000000_00000000_10000000_00000000_00000000_00000000_00000000;
  191.                 168:  LED [63:0]  =  64'b00000000_00010000_00000000_00000000_00000000_00000000_00000000_00000000;
  192.                 169:  LED [63:0]  =  64'b00000000_00010000_00010000_00010000_00010000_00000000_00000000_00000000;
  193.                 170:  LED [63:0]  =  64'b00000000_00010000_00010000_00010000_00000000_00000000_00000000_00000000;
  194.                 171:  LED [63:0]  =  64'b00000000_00010000_00010000_00000000_00000000_00000000_00000000_00000000;
  195.                 172:  LED [63:0]  =  64'b00000000_00010000_00000000_10000000_00000000_00000000_00000000_00000000;
  196.                 173:  LED [63:0]  =  64'b00000000_00010000_00010000_10000000_00000000_00000000_00000000_00000000;
  197.                 174:  LED [63:0]  =  64'b00000000_00010000_00010000_10010000_00000000_00000000_00000000_00000000;
  198.                 175:  LED [63:0]  =  64'b00000000_00010000_00010000_10110000_00000000_00000000_00000000_00000000;
  199.                 176:  LED [63:0]  =  64'b00000000_00010000_00010000_11110000_00000000_00000000_00000000_00000000;
  200.                 177:  LED [63:0]  =  64'b00000000_00010000_00010000_11110000_00000000_00000000_00000000_10000000;
  201.                 178:  LED [63:0]  =  64'b00000000_00010000_00010000_11110000_10000000_00000000_00000000_10000000;
  202.                 179:  LED [63:0]  =  64'b00000000_00000000_00010000_11110000_10000000_10000000_00000000_10000000;
  203.                 180:  LED [63:0]  =  64'b00000000_00000000_00000000_11110000_10000000_10000000_10000000_10000000;
  204.                 181:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_10000000;
  205.                 182:  LED [63:0]  =  64'b00000000_00000000_00000000_11100000_10000000_10000000_10000000_10000000;
  206.                 183:  LED [63:0]  =  64'b00000000_00000000_00000000_11000000_10000000_10000000_10000000_10000000;
  207.                 184:  LED [63:0]  =  64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_10000000;
  208.                 185:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11000000;
  209.                 186:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_10000000_10000000_10000000_10000000;
  210.                 187:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11100000;
  211.                 188:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_10000000;
  212.                 189:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11110000;
  213.                 190:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111000;
  214.                 191:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111100;
  215.                 192:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111110;
  216.                
  217.                 200:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00111100;
  218.                 201:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_01111110;
  219.                 202:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111011;
  220.                 203:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111111;
  221.                 204:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11110000;
  222.                 205:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111000;
  223.                
  224.                 2000: LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00011110;
  225.                 2011:   LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00111111;
  226.                 2022:   LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000001_01111101;
  227.                 2033:   LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000001_01111111;
  228.                
  229.                 206:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00001111;
  230.                 207:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000001_00011111;
  231.                 208:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000001_00000001_00111110;
  232.                 209:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000001_00000001_00111111;
  233.                 210:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00111100;
  234.                 211:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00111110;
  235.                
  236.                 2066:   LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000001_00000111;
  237.                 2077:   LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000001_00000001_00001111;
  238.                 2088:   LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000001_00000001_00000000_00011111;
  239.                 2099:   LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000001_00000001_00000001_00011111;
  240.                
  241.                 212:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000001_00000001_00000011;
  242.                 213:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000001_00000001_00000001_00000111;
  243.                 214:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000001_00000001_00000000_00001111;
  244.                 215:    LED [63:0]  =  64'b00000000_00000000_00000000_00000001_00000001_00000001_00000001_00001111;
  245.                 216:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00001111;
  246.                 217:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000001_00001111;
  247.                
  248.                 21212:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000001_00000001_00000001_00000001;
  249.                 21313:  LED [63:0]  =  64'b00000000_00000000_00000000_00000001_00000001_00000001_00000001_00000011;
  250.                 21414:  LED [63:0]  =  64'b00000000_00000000_00000000_00000001_00000001_00000000_00000001_00000111;
  251.                 21515:  LED [63:0]  =  64'b00000000_00000000_00000001_00000001_00000001_00000001_00000001_00000111;
  252.                
  253.                 218:    LED [63:0]  =  64'b00000000_00000000_00000000_00000001_00000001_00000001_00000001_00000000;
  254.                 219:    LED [63:0]  =  64'b00000000_00000000_00000001_00000001_00000001_00000001_00000001_00000001;
  255.                 220:    LED [63:0]  =  64'b00000000_00000000_00000001_00000001_00000000_00000001_00000001_00000011;
  256.                 221:    LED [63:0]  =  64'b00000000_00000001_00000001_00000001_00000001_00000001_00000001_00000011;
  257.                 222:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000001_00000001_00000011;
  258.                 223:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000001_00000001_00000001_00000011;
  259.                
  260.                 21818:  LED [63:0]  =  64'b00000000_00000000_00000001_00000001_00000001_00000001_00000000_00000000;
  261.                 21919:  LED [63:0]  =  64'b00000000_00000001_00000001_00000001_00000001_00000001_00000001_00000000;
  262.                 22020:  LED [63:0]  =  64'b00000000_00000001_00000001_00000000_00000001_00000001_00000001_00000001;
  263.                 22121:  LED [63:0]  =  64'b00000001_00000001_00000001_00000001_00000001_00000001_00000001_00000001;
  264.                
  265.                 224:    LED [63:0]  =  64'b00000000_00000001_00000001_00000001_00000001_00000000_00000000_00000000;
  266.                 225:    LED [63:0]  =  64'b00000001_00000001_00000001_00000001_00000001_00000001_00000000_00000000;
  267.                 226:    LED [63:0]  =  64'b00000001_00000001_00000000_00000001_00000001_00000001_00000001_00000000;
  268.                 227:    LED [63:0]  =  64'b00000011_00000001_00000001_00000001_00000001_00000001_00000001_00000000;
  269.                 228:    LED [63:0]  =  64'b00000000_00000000_00000000_00000001_00000001_00000001_00000001_00000000;
  270.                 229:    LED [63:0]  =  64'b00000000_00000000_00000001_00000001_00000001_00000001_00000001_00000000;
  271.                
  272.                 22424:  LED [63:0]  =  64'b00000001_00000001_00000001_00000001_00000000_00000000_00000000_00000000;
  273.                 22525:  LED [63:0]  =  64'b00000011_00000001_00000001_00000001_00000001_00000000_00000000_00000000;
  274.                 22626:  LED [63:0]  =  64'b00000011_00000000_00000001_00000001_00000001_00000001_00000000_00000000;
  275.                 22727:  LED [63:0]  =  64'b00000111_00000001_00000001_00000001_00000001_00000001_00000000_00000000;
  276.                
  277.                 230:    LED [63:0]  =  64'b00000011_00000001_00000001_00000000_00000000_00000000_00000000_00000000;
  278.                 231:    LED [63:0]  =  64'b00000111_00000001_00000001_00000001_00000000_00000000_00000000_00000000;
  279.                 232:    LED [63:0]  =  64'b00000110_00000001_00000001_00000001_00000001_00000000_00000000_00000000;
  280.                 233:    LED [63:0]  =  64'b00001111_00000001_00000001_00000001_00000001_00000000_00000000_00000000;
  281.                 234:    LED [63:0]  =  64'b00000000_00000001_00000001_00000001_00000001_00000000_00000000_00000000;
  282.                 235:    LED [63:0]  =  64'b00000001_00000001_00000001_00000001_00000001_00000000_00000000_00000000;
  283.                
  284.                 23030:  LED [63:0]  =  64'b00000111_00000001_00000000_00000000_00000000_00000000_00000000_00000000;
  285.                 23131:  LED [63:0]  =  64'b00001111_00000001_00000001_00000000_00000000_00000000_00000000_00000000;
  286.                 23232:  LED [63:0]  =  64'b00001101_00000001_00000001_00000001_00000000_00000000_00000000_00000000;
  287.                 23333:  LED [63:0]  =  64'b00011111_00000001_00000001_00000001_00000000_00000000_00000000_00000000;
  288.                
  289.                 236:    LED [63:0]  =  64'b00001111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  290.                 237:    LED [63:0]  =  64'b00011111_00000001_00000000_00000000_00000000_00000000_00000000_00000000;
  291.                 238:    LED [63:0]  =  64'b00011011_00000001_00000001_00000000_00000000_00000000_00000000_00000000;
  292.                 239:    LED [63:0]  =  64'b00111111_00000001_00000001_00000000_00000000_00000000_00000000_00000000;
  293.                 240:    LED [63:0]  =  64'b00000011_00000001_00000001_00000000_00000000_00000000_00000000_00000000;
  294.                 241:    LED [63:0]  =  64'b00000111_00000001_00000001_00000000_00000000_00000000_00000000_00000000;
  295.                
  296.                 23636:  LED [63:0]  =  64'b00011110_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  297.                 23737:  LED [63:0]  =  64'b00111111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  298.                 23838:  LED [63:0]  =  64'b00110111_00000001_00000000_00000000_00000000_00000000_00000000_00000000;
  299.                 23939:  LED [63:0]  =  64'b01111111_00000001_00000000_00000000_00000000_00000000_00000000_00000000;
  300.                
  301.                 242:    LED [63:0]  =  64'b00111100_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  302.                 243:    LED [63:0]  =  64'b01111110_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  303.                 244:    LED [63:0]  =  64'b01101111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  304.                 245:    LED [63:0]  =  64'b11111111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  305.                 246:    LED [63:0]  =  64'b00001111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  306.                 247:    LED [63:0]  =  64'b00011111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  307.                
  308.                 24242:  LED [63:0]  =  64'b01111000_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  309.                 24343:  LED [63:0]  =  64'b11111100_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  310.                 24444:  LED [63:0]  =  64'b11011110_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  311.                 24545:  LED [63:0]  =  64'b11111110_10000000_00000000_00000000_00000000_00000000_00000000_00000000;
  312.                
  313.                 248:    LED [63:0]  =  64'b11110000_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  314.                 249:    LED [63:0]  =  64'b11111000_10000000_00000000_00000000_00000000_00000000_00000000_00000000;
  315.                 250:    LED [63:0]  =  64'b10111100_10000000_00000000_00000000_00000000_00000000_00000000_00000000;
  316.                 251:    LED [63:0]  =  64'b11111100_10000000_10000000_00000000_00000000_00000000_00000000_00000000;
  317.                 252:    LED [63:0]  =  64'b00111100_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  318.                 253:    LED [63:0]  =  64'b01111100_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  319.                
  320.                 24848:  LED [63:0]  =  64'b11100000_10000000_00000000_00000000_00000000_00000000_00000000_00000000;
  321.                 24949:  LED [63:0]  =  64'b11110000_10000000_10000000_00000000_00000000_00000000_00000000_00000000;
  322.                 25050:  LED [63:0]  =  64'b01111000_10000000_10000000_00000000_00000000_00000000_00000000_00000000;
  323.                 25151:  LED [63:0]  =  64'b11111000_10000000_10000000_10000000_00000000_00000000_00000000_00000000;
  324.                
  325.                 254:    LED [63:0]  =  64'b11000000_10000000_10000000_00000000_00000000_00000000_00000000_00000000;
  326.                 255:    LED [63:0]  =  64'b11100000_10000000_10000000_10000000_00000000_00000000_00000000_00000000;
  327.                 256:    LED [63:0]  =  64'b11110000_00000000_10000000_10000000_00000000_00000000_00000000_00000000;
  328.                 257:    LED [63:0]  =  64'b11110000_10000000_10000000_10000000_10000000_00000000_00000000_00000000;
  329.                 258:    LED [63:0]  =  64'b11110000_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
  330.                 259:    LED [63:0]  =  64'b11110000_10000000_00000000_00000000_00000000_00000000_00000000_00000000;
  331.                
  332.                 25454:  LED [63:0]  =  64'b10000000_10000000_10000000_10000000_00000000_00000000_00000000_00000000;
  333.                 25555:  LED [63:0]  =  64'b11000000_10000000_10000000_10000000_10000000_00000000_00000000_00000000;
  334.                 25656:  LED [63:0]  =  64'b11100000_10000000_00000000_10000000_10000000_00000000_00000000_00000000;
  335.                 25757:  LED [63:0]  =  64'b11100000_10000000_10000000_10000000_10000000_10000000_00000000_00000000;
  336.                
  337.                 260:    LED [63:0]  =  64'b00000000_10000000_10000000_10000000_10000000_00000000_00000000_00000000;
  338.                 261:    LED [63:0]  =  64'b10000000_10000000_10000000_10000000_10000000_10000000_00000000_00000000;
  339.                 262:    LED [63:0]  =  64'b11000000_10000000_10000000_00000000_10000000_10000000_00000000_00000000;
  340.                 263:    LED [63:0]  =  64'b11000000_10000000_10000000_10000000_10000000_10000000_10000000_00000000;
  341.                 264:    LED [63:0]  =  64'b11000000_10000000_10000000_00000000_00000000_00000000_00000000_00000000;
  342.                 265:    LED [63:0]  =  64'b11000000_10000000_10000000_10000000_00000000_00000000_00000000_00000000;
  343.                
  344.                 26060:  LED [63:0]  =  64'b00000000_00000000_10000000_10000000_10000000_10000000_00000000_00000000;
  345.                 26161:  LED [63:0]  =  64'b00000000_10000000_10000000_10000000_10000000_10000000_10000000_00000000;
  346.                 26262:  LED [63:0]  =  64'b10000000_10000000_10000000_10000000_00000000_10000000_10000000_00000000;
  347.                 26363:  LED [63:0]  =  64'b10000000_10000000_10000000_10000000_10000000_10000000_10000000_10000000;
  348.                
  349.                 266:    LED [63:0]  =  64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_00000000;
  350.                 267:    LED [63:0]  =  64'b00000000_00000000_10000000_10000000_10000000_10000000_10000000_10000000;
  351.                 268:    LED [63:0]  =  64'b00000000_10000000_10000000_10000000_10000000_00000000_10000000_10000000;
  352.                 269:    LED [63:0]  =  64'b00000000_10000000_10000000_10000000_10000000_10000000_10000000_11000000;
  353.                 270:    LED [63:0]  =  64'b00000000_10000000_10000000_10000000_10000000_00000000_00000000_00000000;
  354.                 271:    LED [63:0]  =  64'b00000000_10000000_10000000_10000000_10000000_10000000_00000000_00000000;
  355.                
  356.                 26666:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_10000000_10000000_10000000_10000000;
  357.                 26767:  LED [63:0]  =  64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_11000000;
  358.                 26868:  LED [63:0]  =  64'b00000000_00000000_10000000_10000000_10000000_10000000_00000000_11000000;
  359.                 26969:  LED [63:0]  =  64'b00000000_00000000_10000000_10000000_10000000_10000000_10000000_11100000;
  360.                
  361.                 272:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_11000000;
  362.                 273:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_10000000_10000000_10000000_11100000;
  363.                 274:    LED [63:0]  =  64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_01100000;
  364.                 275:    LED [63:0]  =  64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_11110000;
  365.                 276:    LED [63:0]  =  64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_00000000;
  366.                 277:    LED [63:0]  =  64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_10000000;
  367.                
  368.                 27272:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_10000000_11100000;
  369.                 27373:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_11110000;
  370.                 27474:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_10000000_10000000_10000000_10110000;
  371.                 27575:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_10000000_10000000_10000000_11111000;
  372.                
  373.                 278:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11110000;
  374.                 279:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_10000000_11111000;
  375.                 280:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_11011000;
  376.                 281:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_11111100;
  377.                 282:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_11000000;
  378.                 283:    LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_11100000;
  379.                
  380.                 27878:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_01111000;
  381.                 27979:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111100;
  382.                 28080:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_10000000_11101100;
  383.                 28181:  LED [63:0]  =  64'b00000000_00000000_00000000_00000000_00000000_00000000_10000000_11111110;
  384.                
  385.                 400:  LED [63:0]  =  64'b00000000_00000000_00000000_00001000_00000000_00000000_00000000_00000000;
  386.                 401:    LED [63:0]  =  64'b00000000_00100100_00011100_00101010_00110100_00101010_01001000_00000000;
  387.                 402:    LED [63:0]  =  64'b00000000_00001100_00111000_00010100_00100100_00111000_00110000_00000000;
  388.                 403:    LED [63:0]  =  64'b00000000_00010000_00011000_00011100_00111000_00011000_00001000_00000000;
  389.  
  390.                 404:  LED [63:0]  =  64'b00000000_00000000_00001000_00000000_00000000_00000000_00000000_00000000;
  391.                 405:    LED [63:0]  =  64'b00000000_00100100_00011100_00101010_00110100_00101010_01001000_00000000;
  392.                 406:    LED [63:0]  =  64'b00000000_00001100_00110000_00010000_00100100_00111000_00110000_00000000;
  393.                 407:    LED [63:0]  =  64'b00000000_00001000_00011000_00011100_00111000_00010000_00000000_00000000;
  394.                
  395.                 500:    LED [63:0]  =  64'b00000000_00000010_00000000_01000000_00000000_00001000_00000000_00000100;
  396.                 501:    LED [63:0]  =  64'b00001000_00010000_00100000_00010000_00001000_00000000_01000000_00001000;
  397.                 502:    LED [63:0]  =  64'b10000000_00000100_00000010_00000010_00000100_00100000_00000010_01000010;
  398.                
  399.                
  400.                 default: LED [63:0]  =  64'b11111111_11111111_11111111_11111111_11111111_11111111_11111111_11111111;
  401.             endcase  
  402.          end  
  403.  
  404. endmodule
Add Comment
Please, Sign In to add comment