Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- module Scanning(
- input wire clk ,
- input wire [20:0] led7 , led6 , led5 , led4 , led3 , led2 , led1 , led0 ,
- output reg [7:0] SelectLED ,
- output reg [63:0] LED
- ) ;
- localparam N = 18;
- reg [N-1:0] q_reg;
- wire [N-1:0] q_next;
- reg [20:0] led_in;
- always@(posedge clk)
- q_reg <= q_next;
- assign q_next = q_reg + 1;
- always@*
- case(q_reg [N-1:N-3])
- 0:
- begin
- SelectLED = 8'b1111_1110;
- led_in = led0;
- end
- 1:
- begin
- SelectLED = 8'b1111_1101;
- led_in = led1;
- end
- 2:
- begin
- SelectLED = 8'b1111_1011;
- led_in = led2;
- end
- 3:
- begin
- SelectLED = 8'b1111_0111;
- led_in = led3;
- end
- 4:
- begin
- SelectLED = 8'b1110_1111;
- led_in = led4;
- end
- 5:
- begin
- SelectLED = 8'b1101_1111;
- led_in = led5;
- end
- 6:
- begin
- SelectLED = 8'b1011_1111;
- led_in = led6;
- end
- default :
- begin
- SelectLED = 8'b0111_1111;
- led_in = led7;
- end
- endcase
- always@*
- begin
- case (led_in)
- 0: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 1: LED [63:0] = 64'b11111111_11111111_11111111_11111111_11111111_11111111_11111111_11111111;
- 2: LED [63:0] = 64'b11111111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 3: LED [63:0] = 64'b00000000_11111111_00000000_00000000_00000000_00000000_00000000_00000000;
- 4: LED [63:0] = 64'b00000000_00000000_11111111_00000000_00000000_00000000_00000000_00000000;
- 5: LED [63:0] = 64'b00000000_00000000_00000000_11111111_00000000_00000000_00000000_00000000;
- 6: LED [63:0] = 64'b00000000_00000000_00000000_00000000_11111111_00000000_00000000_00000000;
- 7: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_11111111_00000000_00000000;
- 8: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_11111111_00000000;
- 9: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111111;
- 10: LED [63:0] = 64'b10000000_10000000_10000000_10000000_10000000_10000000_10000000_10000000;
- 11: LED [63:0] = 64'b01000000_01000000_01000000_01000000_01000000_01000000_01000000_01000000;
- 12: LED [63:0] = 64'b00100000_00100000_00100000_00100000_00100000_00100000_00100000_00100000;
- 13: LED [63:0] = 64'b00010000_00010000_00010000_00010000_00010000_00010000_00010000_00010000;
- 14: LED [63:0] = 64'b00001000_00001000_00001000_00001000_00001000_00001000_00001000_00001000;
- 15: LED [63:0] = 64'b00000100_00000100_00000100_00000100_00000100_00000100_00000100_00000100;
- 16: LED [63:0] = 64'b00000010_00000010_00000010_00000010_00000010_00000010_00000010_00000010;
- 17: LED [63:0] = 64'b00000001_00000001_00000001_00000001_00000001_00000001_00000001_00000001;
- 20: LED [63:0] = 64'b11111111_11111111_00000000_00000000_00000000_00000000_00000000_00000000;
- 21: LED [63:0] = 64'b11111111_11111111_11111111_00000000_00000000_00000000_00000000_00000000;
- 22: LED [63:0] = 64'b11111111_11111111_11111111_11111111_00000000_00000000_00000000_00000000;
- 23: LED [63:0] = 64'b11111111_11111111_11111111_11111111_11111111_00000000_00000000_00000000;
- 24: LED [63:0] = 64'b11111111_11111111_11111111_11111111_11111111_11111111_00000000_00000000;
- 25: LED [63:0] = 64'b11111111_11111111_11111111_11111111_11111111_11111111_11111111_00000000;
- 26: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_11111111_11111111;
- 27: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_11111111_11111111_11111111;
- 28: LED [63:0] = 64'b00000000_00000000_00000000_00000000_11111111_11111111_11111111_11111111;
- 29: LED [63:0] = 64'b00000000_00000000_00000000_11111111_11111111_11111111_11111111_11111111;
- 30: LED [63:0] = 64'b00000000_00000000_11111111_11111111_11111111_11111111_11111111_11111111;
- 31: LED [63:0] = 64'b00000000_11111111_11111111_11111111_11111111_11111111_11111111_11111111;
- 32: LED [63:0] = 64'b11111111_11011111_11111111_11111111_11111011_11111111_11101111_11111111;
- 33: LED [63:0] = 64'b00000000_00100000_00000000_00000000_00000100_00000000_00010000_00000000;
- 34: LED [63:0] = 64'b01111111_11011111_11111011_11111111_11111011_11011111_11101111_11111101;
- 35: LED [63:0] = 64'b10000000_00000000_00000100_00000000_00000000_00100000_00000000_00000010;
- 36: LED [63:0] = 64'b10000000_00100000_00000100_00000000_00000100_00100000_00010000_00000010;
- 37: LED [63:0] = 64'b01110111_11011111_11111011_11011111_11111011_11011111_11101111_11111001;
- 38: LED [63:0] = 64'b00001000_00000000_00000000_00100000_00000100_00000000_00000000_00000100;
- 39: LED [63:0] = 64'b10001000_00100000_00000100_00100000_00000100_00100000_00010000_00000110;
- 40: LED [63:0] = 64'b01110101_11011101_11111001_11011011_11110011_11010111_11101011_11101001;
- 41: LED [63:0] = 64'b00000010_00100010_00000000_00100100_00001000_00101000_00010100_00010000;
- 42: LED [63:0] = 64'b10001010_00100010_00000100_00100100_00001100_00101000_00010100_00010110;
- 43: LED [63:0] = 64'b00110101_11010101_11011001_11010010_01110010_11010101_10101011_11101001;
- 44: LED [63:0] = 64'b11001000_00001000_00100110_00001001_10000101_00000010_01000000_00000000;
- 45: LED [63:0] = 64'b11001010_00101010_00100110_00101101_10001101_00101010_01010100_00010110;
- 46: LED [63:0] = 64'b00100000_10010001_10011000_01010000_00110010_01010001_00101011_11101000;
- 47: LED [63:0] = 64'b11000000_01100110_01000001_10100110_01001000_10101100_10010100_00010001;
- 48: LED [63:0] = 64'b11001010_01101010_01100111_10101111_11001101_10101110_11010100_00010111;
- 50: LED [63:0] = 64'b11111111_10000001_10000001_10000001_10000001_10000001_10000001_11111111;
- 51: LED [63:0] = 64'b10000001_00000000_00000000_00000000_00000000_00000000_00000000_10000001;
- 52: LED [63:0] = 64'b00000000_01111110_01000010_01000010_01000010_01000010_01111110_00000000;
- 53: LED [63:0] = 64'b00000000_01000010_00000000_00000000_00000000_00000000_01000010_00000000;
- 54: LED [63:0] = 64'b00000000_00000000_00111100_00100100_00100100_00111100_00000000_00000000;
- 55: LED [63:0] = 64'b00000000_00000000_00100100_00000000_00000000_00100100_00000000_00000000;
- 56: LED [63:0] = 64'b00000000_00000000_00000000_00011000_00011000_00000000_00000000_00000000;
- 57: LED [63:0] = 64'b11111110_10000010_10000010_10000010_10000010_10000010_11111110_00000000;
- 58: LED [63:0] = 64'b10000010_00000000_00000000_00000000_00000000_00000000_10000010_00000000;
- 59: LED [63:0] = 64'b11111100_10000100_10000100_10000100_10000100_11111100_00000000_00000000;
- 60: LED [63:0] = 64'b10000100_00000000_00000000_00000000_00000000_10000100_00000000_00000000;
- 61: LED [63:0] = 64'b11111000_10001000_10001000_10001000_11111000_00000000_00000000_00000000;
- 62: LED [63:0] = 64'b10001000_00000000_00000000_00000000_10001000_00000000_00000000_00000000;
- 63: LED [63:0] = 64'b11110000_10010000_10010000_11110000_00000000_00000000_00000000_00000000;
- 64: LED [63:0] = 64'b10010000_00000000_00000000_10010000_00000000_00000000_00000000_00000000;
- 65: LED [63:0] = 64'b11100000_10100000_11100000_00000000_00000000_00000000_00000000_00000000;
- 66: LED [63:0] = 64'b10100000_00000000_10100000_00000000_00000000_00000000_00000000_00000000;
- 67: LED [63:0] = 64'b11000000_11000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 69: LED [63:0] = 64'b01111111_01000001_01000001_01000001_01000001_01000001_01111111_00000000;
- 70: LED [63:0] = 64'b01000001_00000000_00000000_00000000_00000000_00000000_01000001_00000000;
- 71: LED [63:0] = 64'b00111111_00100001_00100001_00100001_00100001_00111111_00000000_00000000;
- 72: LED [63:0] = 64'b00100001_00000000_00000000_00000000_00000000_00100001_00000000_00000000;
- 73: LED [63:0] = 64'b00001111_00010001_00010001_00010001_00011111_00000000_00000000_00000000;
- 74: LED [63:0] = 64'b00010001_00000000_00000000_00000000_00010001_00000000_00000000_00000000;
- 75: LED [63:0] = 64'b00001111_00001001_00001001_00001111_00000000_00000000_00000000_00000000;
- 76: LED [63:0] = 64'b00001001_00000000_00000000_00001001_00000000_00000000_00000000_00000000;
- 77: LED [63:0] = 64'b00000111_00000101_00000111_00000000_00000000_00000000_00000000_00000000;
- 78: LED [63:0] = 64'b00000101_00000000_00000101_00000000_00000000_00000000_00000000_00000000;
- 79: LED [63:0] = 64'b00000011_00000011_00000000_00000000_00000000_00000000_00000000_00000000;
- 81: LED [63:0] = 64'b00000000_01111111_01000001_01000001_01000001_01000001_01000001_01111111;
- 82: LED [63:0] = 64'b00000000_01000001_00000000_00000000_00000000_00000000_00000000_01000001;
- 83: LED [63:0] = 64'b00000000_00000000_00111111_00100001_00100001_00100001_00100001_00111111;
- 84: LED [63:0] = 64'b00000000_00000000_00100001_00000000_00000000_00000000_00000000_00100001;
- 85: LED [63:0] = 64'b00000000_00000000_00000000_00001111_00010001_00010001_00010001_00011111;
- 86: LED [63:0] = 64'b00000000_00000000_00000000_00010001_00000000_00000000_00000000_00010001;
- 87: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00001111_00001001_00001001_00001111;
- 88: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00001001_00000000_00000000_00001001;
- 89: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000111_00000101_00000111;
- 90: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000101_00000000_00000101;
- 91: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000011_00000011;
- 93: LED [63:0] = 64'b00000000_11111110_10000010_10000010_10000010_10000010_10000010_11111110;
- 94: LED [63:0] = 64'b00000000_10000010_00000000_00000000_00000000_00000000_00000000_10000010;
- 95: LED [63:0] = 64'b00000000_00000000_11111100_10000100_10000100_10000100_10000100_11111100;
- 96: LED [63:0] = 64'b00000000_00000000_10000100_00000000_00000000_00000000_00000000_10000100;
- 97: LED [63:0] = 64'b00000000_00000000_00000000_11111000_10001000_10001000_10001000_11111000;
- 98: LED [63:0] = 64'b00000000_00000000_00000000_10001000_00000000_00000000_00000000_10001000;
- 99: LED [63:0] = 64'b00000000_00000000_00000000_00000000_11110000_10010000_10010000_11110000;
- 100: LED [63:0] = 64'b00000000_00000000_00000000_00000000_10010000_00000000_00000000_10010000;
- 101: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_11100000_10100000_11100000;
- 102: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_10100000_00000000_10100000;
- 103: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_11000000_11000000;
- 150: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_10000010_00000000;
- 151: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_11000010_00000000;
- 152: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_11100010_00000000;
- 153: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_01110010_00000000;
- 154: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00111010_00000000;
- 155: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00011110_00000000;
- 156: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000010_00000000;
- 157: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00001110_00000000;
- 158: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000110_00000000;
- 159: LED [63:0] = 64'b00000000_00010000_00000000_00000000_00000000_00000000_00000010_00000000;
- 160: LED [63:0] = 64'b00000000_00010000_00000000_00000000_00000000_00000000_00000110_00000000;
- 161: LED [63:0] = 64'b00000000_00010000_00000000_00000000_00000000_00000000_00001110_00000000;
- 162: LED [63:0] = 64'b00000000_00010000_00000000_00000000_00000000_00000000_00011110_00000000;
- 163: LED [63:0] = 64'b00000000_00010000_00000000_00000000_00000000_00010000_00011110_00000000;
- 164: LED [63:0] = 64'b00000000_00010000_00000000_00000000_00010000_00010000_00011100_00000000;
- 165: LED [63:0] = 64'b00000000_00010000_00000000_00010000_00010000_00010000_00011000_00000000;
- 166: LED [63:0] = 64'b00000000_00010000_00010000_00010000_00010000_00010000_00010000_00000000;
- 1666: LED [63:0] = 64'b00000000_00010000_00010000_00010000_00010000_00010000_00000000_00000000;
- 167: LED [63:0] = 64'b00000000_00000000_00000000_10000000_00000000_00000000_00000000_00000000;
- 168: LED [63:0] = 64'b00000000_00010000_00000000_00000000_00000000_00000000_00000000_00000000;
- 169: LED [63:0] = 64'b00000000_00010000_00010000_00010000_00010000_00000000_00000000_00000000;
- 170: LED [63:0] = 64'b00000000_00010000_00010000_00010000_00000000_00000000_00000000_00000000;
- 171: LED [63:0] = 64'b00000000_00010000_00010000_00000000_00000000_00000000_00000000_00000000;
- 172: LED [63:0] = 64'b00000000_00010000_00000000_10000000_00000000_00000000_00000000_00000000;
- 173: LED [63:0] = 64'b00000000_00010000_00010000_10000000_00000000_00000000_00000000_00000000;
- 174: LED [63:0] = 64'b00000000_00010000_00010000_10010000_00000000_00000000_00000000_00000000;
- 175: LED [63:0] = 64'b00000000_00010000_00010000_10110000_00000000_00000000_00000000_00000000;
- 176: LED [63:0] = 64'b00000000_00010000_00010000_11110000_00000000_00000000_00000000_00000000;
- 177: LED [63:0] = 64'b00000000_00010000_00010000_11110000_00000000_00000000_00000000_10000000;
- 178: LED [63:0] = 64'b00000000_00010000_00010000_11110000_10000000_00000000_00000000_10000000;
- 179: LED [63:0] = 64'b00000000_00000000_00010000_11110000_10000000_10000000_00000000_10000000;
- 180: LED [63:0] = 64'b00000000_00000000_00000000_11110000_10000000_10000000_10000000_10000000;
- 181: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_10000000;
- 182: LED [63:0] = 64'b00000000_00000000_00000000_11100000_10000000_10000000_10000000_10000000;
- 183: LED [63:0] = 64'b00000000_00000000_00000000_11000000_10000000_10000000_10000000_10000000;
- 184: LED [63:0] = 64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_10000000;
- 185: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11000000;
- 186: LED [63:0] = 64'b00000000_00000000_00000000_00000000_10000000_10000000_10000000_10000000;
- 187: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11100000;
- 188: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_10000000;
- 189: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11110000;
- 190: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111000;
- 191: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111100;
- 192: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111110;
- 200: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00111100;
- 201: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_01111110;
- 202: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111011;
- 203: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111111;
- 204: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11110000;
- 205: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111000;
- 2000: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00011110;
- 2011: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00111111;
- 2022: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000001_01111101;
- 2033: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000001_01111111;
- 206: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00001111;
- 207: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000001_00011111;
- 208: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000001_00000001_00111110;
- 209: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000001_00000001_00111111;
- 210: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00111100;
- 211: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00111110;
- 2066: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000001_00000111;
- 2077: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000001_00000001_00001111;
- 2088: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000001_00000001_00000000_00011111;
- 2099: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000001_00000001_00000001_00011111;
- 212: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000001_00000001_00000011;
- 213: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000001_00000001_00000001_00000111;
- 214: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000001_00000001_00000000_00001111;
- 215: LED [63:0] = 64'b00000000_00000000_00000000_00000001_00000001_00000001_00000001_00001111;
- 216: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_00001111;
- 217: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000001_00001111;
- 21212: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000001_00000001_00000001_00000001;
- 21313: LED [63:0] = 64'b00000000_00000000_00000000_00000001_00000001_00000001_00000001_00000011;
- 21414: LED [63:0] = 64'b00000000_00000000_00000000_00000001_00000001_00000000_00000001_00000111;
- 21515: LED [63:0] = 64'b00000000_00000000_00000001_00000001_00000001_00000001_00000001_00000111;
- 218: LED [63:0] = 64'b00000000_00000000_00000000_00000001_00000001_00000001_00000001_00000000;
- 219: LED [63:0] = 64'b00000000_00000000_00000001_00000001_00000001_00000001_00000001_00000001;
- 220: LED [63:0] = 64'b00000000_00000000_00000001_00000001_00000000_00000001_00000001_00000011;
- 221: LED [63:0] = 64'b00000000_00000001_00000001_00000001_00000001_00000001_00000001_00000011;
- 222: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000001_00000001_00000011;
- 223: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000001_00000001_00000001_00000011;
- 21818: LED [63:0] = 64'b00000000_00000000_00000001_00000001_00000001_00000001_00000000_00000000;
- 21919: LED [63:0] = 64'b00000000_00000001_00000001_00000001_00000001_00000001_00000001_00000000;
- 22020: LED [63:0] = 64'b00000000_00000001_00000001_00000000_00000001_00000001_00000001_00000001;
- 22121: LED [63:0] = 64'b00000001_00000001_00000001_00000001_00000001_00000001_00000001_00000001;
- 224: LED [63:0] = 64'b00000000_00000001_00000001_00000001_00000001_00000000_00000000_00000000;
- 225: LED [63:0] = 64'b00000001_00000001_00000001_00000001_00000001_00000001_00000000_00000000;
- 226: LED [63:0] = 64'b00000001_00000001_00000000_00000001_00000001_00000001_00000001_00000000;
- 227: LED [63:0] = 64'b00000011_00000001_00000001_00000001_00000001_00000001_00000001_00000000;
- 228: LED [63:0] = 64'b00000000_00000000_00000000_00000001_00000001_00000001_00000001_00000000;
- 229: LED [63:0] = 64'b00000000_00000000_00000001_00000001_00000001_00000001_00000001_00000000;
- 22424: LED [63:0] = 64'b00000001_00000001_00000001_00000001_00000000_00000000_00000000_00000000;
- 22525: LED [63:0] = 64'b00000011_00000001_00000001_00000001_00000001_00000000_00000000_00000000;
- 22626: LED [63:0] = 64'b00000011_00000000_00000001_00000001_00000001_00000001_00000000_00000000;
- 22727: LED [63:0] = 64'b00000111_00000001_00000001_00000001_00000001_00000001_00000000_00000000;
- 230: LED [63:0] = 64'b00000011_00000001_00000001_00000000_00000000_00000000_00000000_00000000;
- 231: LED [63:0] = 64'b00000111_00000001_00000001_00000001_00000000_00000000_00000000_00000000;
- 232: LED [63:0] = 64'b00000110_00000001_00000001_00000001_00000001_00000000_00000000_00000000;
- 233: LED [63:0] = 64'b00001111_00000001_00000001_00000001_00000001_00000000_00000000_00000000;
- 234: LED [63:0] = 64'b00000000_00000001_00000001_00000001_00000001_00000000_00000000_00000000;
- 235: LED [63:0] = 64'b00000001_00000001_00000001_00000001_00000001_00000000_00000000_00000000;
- 23030: LED [63:0] = 64'b00000111_00000001_00000000_00000000_00000000_00000000_00000000_00000000;
- 23131: LED [63:0] = 64'b00001111_00000001_00000001_00000000_00000000_00000000_00000000_00000000;
- 23232: LED [63:0] = 64'b00001101_00000001_00000001_00000001_00000000_00000000_00000000_00000000;
- 23333: LED [63:0] = 64'b00011111_00000001_00000001_00000001_00000000_00000000_00000000_00000000;
- 236: LED [63:0] = 64'b00001111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 237: LED [63:0] = 64'b00011111_00000001_00000000_00000000_00000000_00000000_00000000_00000000;
- 238: LED [63:0] = 64'b00011011_00000001_00000001_00000000_00000000_00000000_00000000_00000000;
- 239: LED [63:0] = 64'b00111111_00000001_00000001_00000000_00000000_00000000_00000000_00000000;
- 240: LED [63:0] = 64'b00000011_00000001_00000001_00000000_00000000_00000000_00000000_00000000;
- 241: LED [63:0] = 64'b00000111_00000001_00000001_00000000_00000000_00000000_00000000_00000000;
- 23636: LED [63:0] = 64'b00011110_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 23737: LED [63:0] = 64'b00111111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 23838: LED [63:0] = 64'b00110111_00000001_00000000_00000000_00000000_00000000_00000000_00000000;
- 23939: LED [63:0] = 64'b01111111_00000001_00000000_00000000_00000000_00000000_00000000_00000000;
- 242: LED [63:0] = 64'b00111100_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 243: LED [63:0] = 64'b01111110_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 244: LED [63:0] = 64'b01101111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 245: LED [63:0] = 64'b11111111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 246: LED [63:0] = 64'b00001111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 247: LED [63:0] = 64'b00011111_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 24242: LED [63:0] = 64'b01111000_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 24343: LED [63:0] = 64'b11111100_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 24444: LED [63:0] = 64'b11011110_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 24545: LED [63:0] = 64'b11111110_10000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 248: LED [63:0] = 64'b11110000_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 249: LED [63:0] = 64'b11111000_10000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 250: LED [63:0] = 64'b10111100_10000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 251: LED [63:0] = 64'b11111100_10000000_10000000_00000000_00000000_00000000_00000000_00000000;
- 252: LED [63:0] = 64'b00111100_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 253: LED [63:0] = 64'b01111100_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 24848: LED [63:0] = 64'b11100000_10000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 24949: LED [63:0] = 64'b11110000_10000000_10000000_00000000_00000000_00000000_00000000_00000000;
- 25050: LED [63:0] = 64'b01111000_10000000_10000000_00000000_00000000_00000000_00000000_00000000;
- 25151: LED [63:0] = 64'b11111000_10000000_10000000_10000000_00000000_00000000_00000000_00000000;
- 254: LED [63:0] = 64'b11000000_10000000_10000000_00000000_00000000_00000000_00000000_00000000;
- 255: LED [63:0] = 64'b11100000_10000000_10000000_10000000_00000000_00000000_00000000_00000000;
- 256: LED [63:0] = 64'b11110000_00000000_10000000_10000000_00000000_00000000_00000000_00000000;
- 257: LED [63:0] = 64'b11110000_10000000_10000000_10000000_10000000_00000000_00000000_00000000;
- 258: LED [63:0] = 64'b11110000_00000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 259: LED [63:0] = 64'b11110000_10000000_00000000_00000000_00000000_00000000_00000000_00000000;
- 25454: LED [63:0] = 64'b10000000_10000000_10000000_10000000_00000000_00000000_00000000_00000000;
- 25555: LED [63:0] = 64'b11000000_10000000_10000000_10000000_10000000_00000000_00000000_00000000;
- 25656: LED [63:0] = 64'b11100000_10000000_00000000_10000000_10000000_00000000_00000000_00000000;
- 25757: LED [63:0] = 64'b11100000_10000000_10000000_10000000_10000000_10000000_00000000_00000000;
- 260: LED [63:0] = 64'b00000000_10000000_10000000_10000000_10000000_00000000_00000000_00000000;
- 261: LED [63:0] = 64'b10000000_10000000_10000000_10000000_10000000_10000000_00000000_00000000;
- 262: LED [63:0] = 64'b11000000_10000000_10000000_00000000_10000000_10000000_00000000_00000000;
- 263: LED [63:0] = 64'b11000000_10000000_10000000_10000000_10000000_10000000_10000000_00000000;
- 264: LED [63:0] = 64'b11000000_10000000_10000000_00000000_00000000_00000000_00000000_00000000;
- 265: LED [63:0] = 64'b11000000_10000000_10000000_10000000_00000000_00000000_00000000_00000000;
- 26060: LED [63:0] = 64'b00000000_00000000_10000000_10000000_10000000_10000000_00000000_00000000;
- 26161: LED [63:0] = 64'b00000000_10000000_10000000_10000000_10000000_10000000_10000000_00000000;
- 26262: LED [63:0] = 64'b10000000_10000000_10000000_10000000_00000000_10000000_10000000_00000000;
- 26363: LED [63:0] = 64'b10000000_10000000_10000000_10000000_10000000_10000000_10000000_10000000;
- 266: LED [63:0] = 64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_00000000;
- 267: LED [63:0] = 64'b00000000_00000000_10000000_10000000_10000000_10000000_10000000_10000000;
- 268: LED [63:0] = 64'b00000000_10000000_10000000_10000000_10000000_00000000_10000000_10000000;
- 269: LED [63:0] = 64'b00000000_10000000_10000000_10000000_10000000_10000000_10000000_11000000;
- 270: LED [63:0] = 64'b00000000_10000000_10000000_10000000_10000000_00000000_00000000_00000000;
- 271: LED [63:0] = 64'b00000000_10000000_10000000_10000000_10000000_10000000_00000000_00000000;
- 26666: LED [63:0] = 64'b00000000_00000000_00000000_00000000_10000000_10000000_10000000_10000000;
- 26767: LED [63:0] = 64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_11000000;
- 26868: LED [63:0] = 64'b00000000_00000000_10000000_10000000_10000000_10000000_00000000_11000000;
- 26969: LED [63:0] = 64'b00000000_00000000_10000000_10000000_10000000_10000000_10000000_11100000;
- 272: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_11000000;
- 273: LED [63:0] = 64'b00000000_00000000_00000000_00000000_10000000_10000000_10000000_11100000;
- 274: LED [63:0] = 64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_01100000;
- 275: LED [63:0] = 64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_11110000;
- 276: LED [63:0] = 64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_00000000;
- 277: LED [63:0] = 64'b00000000_00000000_00000000_10000000_10000000_10000000_10000000_10000000;
- 27272: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_10000000_11100000;
- 27373: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_11110000;
- 27474: LED [63:0] = 64'b00000000_00000000_00000000_00000000_10000000_10000000_10000000_10110000;
- 27575: LED [63:0] = 64'b00000000_00000000_00000000_00000000_10000000_10000000_10000000_11111000;
- 278: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11110000;
- 279: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_10000000_11111000;
- 280: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_11011000;
- 281: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_11111100;
- 282: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_11000000;
- 283: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_10000000_10000000_11100000;
- 27878: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_01111000;
- 27979: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_00000000_11111100;
- 28080: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_10000000_11101100;
- 28181: LED [63:0] = 64'b00000000_00000000_00000000_00000000_00000000_00000000_10000000_11111110;
- 400: LED [63:0] = 64'b00000000_00000000_00000000_00001000_00000000_00000000_00000000_00000000;
- 401: LED [63:0] = 64'b00000000_00100100_00011100_00101010_00110100_00101010_01001000_00000000;
- 402: LED [63:0] = 64'b00000000_00001100_00111000_00010100_00100100_00111000_00110000_00000000;
- 403: LED [63:0] = 64'b00000000_00010000_00011000_00011100_00111000_00011000_00001000_00000000;
- 404: LED [63:0] = 64'b00000000_00000000_00001000_00000000_00000000_00000000_00000000_00000000;
- 405: LED [63:0] = 64'b00000000_00100100_00011100_00101010_00110100_00101010_01001000_00000000;
- 406: LED [63:0] = 64'b00000000_00001100_00110000_00010000_00100100_00111000_00110000_00000000;
- 407: LED [63:0] = 64'b00000000_00001000_00011000_00011100_00111000_00010000_00000000_00000000;
- 500: LED [63:0] = 64'b00000000_00000010_00000000_01000000_00000000_00001000_00000000_00000100;
- 501: LED [63:0] = 64'b00001000_00010000_00100000_00010000_00001000_00000000_01000000_00001000;
- 502: LED [63:0] = 64'b10000000_00000100_00000010_00000010_00000100_00100000_00000010_01000010;
- default: LED [63:0] = 64'b11111111_11111111_11111111_11111111_11111111_11111111_11111111_11111111;
- endcase
- end
- endmodule
Add Comment
Please, Sign In to add comment