Advertisement
Guest User

test.v

a guest
Jul 22nd, 2023
78
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VeriLog 0.23 KB | Source Code | 0 0
  1. module test(clk, rst_n);
  2.     input clk, rst_n;
  3.     reg STAR;
  4.     localparam S0=0, S1=1;
  5.  
  6.     always @(rst_n==0) STAR <= S0;
  7.     always @(posedge clk) if (rst_n==1)
  8.         casex(STAR)
  9.             S0: STAR <= S1;
  10.             S1: STAR <= S0;
  11.         endcase
  12. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement