Guest User

Untitled

a guest
Nov 22nd, 2017
119
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.31 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity test_to_01 is
  5. end entity test_to_01;
  6.  
  7. architecture rtl of test_to_01 is
  8. signal s_test_in : std_logic_vector(8 downto 0) := "UX01ZWLZ-";
  9. signal s_test_out : std_logic_vector(8 downto 0);
  10. begin
  11. s_test_out <= to_01(s_test_in);
  12. end architecture rtl;
Add Comment
Please, Sign In to add comment