Advertisement
lukibeni

em

Dec 8th, 2014
219
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.40 KB | None | 0 0
  1. module V_5_4(
  2. input clk,
  3. input SW0,
  4. output reg [3:0] OUT
  5. );
  6.  
  7. wire EN;
  8. reg [2:0] counter = 0;
  9. reg [25:0] Q = 26'b0;
  10.  
  11. always @(posedge clk)
  12. begin
  13. if (EN)
  14. Q <= 26'b0;
  15. else
  16. Q <= Q + 1;
  17. end
  18.  
  19. //assign EN = (Q == 26'd49999999);
  20. assign EN = (Q == 26'd3);
  21.  
  22. always @ (posedge clk)
  23. begin
  24. counter <= counter + 1;
  25. end
  26.  
  27. assign OUT = (SW0) ? { counter , 1'b1 } : { counter , 1'b0 }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement