Advertisement
Guest User

Untitled

a guest
Feb 27th, 2020
87
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.79 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity Lab5Part3 is
  5. port(SW : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
  6. HEX0, HEX1, HEX2, HEX3 : OUT STD_LOGIC_VECTOR(6 DOWNTO 0));
  7.  
  8. END Lab5Part3;
  9.  
  10. ARCHITECTURE STRUCTURE OF LAB5PART3 IS
  11.  
  12. SIGNAL D : STD_LOGIC_VECTOR(6 DOWNTO 0);
  13. SIGNAL E : STD_LOGIC_VECTOR(6 DOWNTO 0);
  14. SIGNAL ONE : STD_LOGIC_VECTOR(6 DOWNTO 0);
  15. SIGNAL ZERO : STD_LOGIC_VECTOR(6 DOWNTO 0);
  16. SIGNAL S0 : STD_LOGIC_VECTOR(6 DOWNTO 0);
  17. SIGNAL S1 : STD_LOGIC_VECTOR(6 DOWNTO 0);
  18. SIGNAL S2 : STD_LOGIC_VECTOR(6 DOWNTO 0);
  19. SIGNAL S3 : STD_LOGIC_VECTOR(6 DOWNTO 0);
  20.  
  21. BEGIN
  22.  
  23. D <= "0100001";
  24. E <= "0000110";
  25. ONE <= "1111001";
  26. ZERO <= "1000000";
  27.  
  28.  
  29. WITH SW(1 downto 0) SELECT
  30.  
  31.  
  32. S0 <= D WHEN "00",
  33. E WHEN "01",
  34. ONE WHEN "10",
  35. ZERO WHEN "11";
  36.  
  37. WITH SW(3 downto 2) SELECT
  38.  
  39. S1 <= D WHEN "00",
  40. E WHEN "01",
  41. ONE WHEN "10",
  42. ZERO WHEN "11";
  43.  
  44. WITH SW(5 downto 4) SELECT
  45.  
  46. S2 <= D WHEN "00",
  47. E WHEN "01",
  48. ONE WHEN "10",
  49. ZERO WHEN "11";
  50.  
  51. WITH SW(7 downto 6) SELECT
  52.  
  53. S3 <= D WHEN "00",
  54. E WHEN "01",
  55. ONE WHEN "10",
  56. ZERO WHEN "11";
  57.  
  58. WITH SW(9 downto 8) SELECT
  59.  
  60. HEX0 <= S0 WHEN "00",
  61. S1 WHEN "01",
  62. S2 WHEN "10",
  63. S3 WHEN "11";
  64.  
  65. WITH SW(9 downto 8) SELECT
  66.  
  67. HEX1 <= S1 WHEN "00",
  68. S2 WHEN "01",
  69. S3 WHEN "10",
  70. S0 WHEN "11";
  71.  
  72. WITH SW(9 downto 8) SELECT
  73.  
  74. HEX2 <= S2 WHEN "00",
  75. S3 WHEN "01",
  76. S0 WHEN "10",
  77. S1 WHEN "11";
  78.  
  79. WITH SW(9 downto 8) SELECT
  80.  
  81. HEX3 <= S3 WHEN "00",
  82. S0 WHEN "01",
  83. S1 WHEN "10",
  84. S2 WHEN "11";
  85.  
  86.  
  87. END STRUCTURE;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement