Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- library ieee;
- use ieee.std_logic_1164.all;
- entity Lab5Part3 is
- port(SW : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
- HEX0, HEX1, HEX2, HEX3 : OUT STD_LOGIC_VECTOR(6 DOWNTO 0));
- END Lab5Part3;
- ARCHITECTURE STRUCTURE OF LAB5PART3 IS
- SIGNAL D : STD_LOGIC_VECTOR(6 DOWNTO 0);
- SIGNAL E : STD_LOGIC_VECTOR(6 DOWNTO 0);
- SIGNAL ONE : STD_LOGIC_VECTOR(6 DOWNTO 0);
- SIGNAL ZERO : STD_LOGIC_VECTOR(6 DOWNTO 0);
- SIGNAL S0 : STD_LOGIC_VECTOR(6 DOWNTO 0);
- SIGNAL S1 : STD_LOGIC_VECTOR(6 DOWNTO 0);
- SIGNAL S2 : STD_LOGIC_VECTOR(6 DOWNTO 0);
- SIGNAL S3 : STD_LOGIC_VECTOR(6 DOWNTO 0);
- BEGIN
- D <= "0100001";
- E <= "0000110";
- ONE <= "1111001";
- ZERO <= "1000000";
- WITH SW(1 downto 0) SELECT
- S0 <= D WHEN "00",
- E WHEN "01",
- ONE WHEN "10",
- ZERO WHEN "11";
- WITH SW(3 downto 2) SELECT
- S1 <= D WHEN "00",
- E WHEN "01",
- ONE WHEN "10",
- ZERO WHEN "11";
- WITH SW(5 downto 4) SELECT
- S2 <= D WHEN "00",
- E WHEN "01",
- ONE WHEN "10",
- ZERO WHEN "11";
- WITH SW(7 downto 6) SELECT
- S3 <= D WHEN "00",
- E WHEN "01",
- ONE WHEN "10",
- ZERO WHEN "11";
- WITH SW(9 downto 8) SELECT
- HEX0 <= S0 WHEN "00",
- S1 WHEN "01",
- S2 WHEN "10",
- S3 WHEN "11";
- WITH SW(9 downto 8) SELECT
- HEX1 <= S1 WHEN "00",
- S2 WHEN "01",
- S3 WHEN "10",
- S0 WHEN "11";
- WITH SW(9 downto 8) SELECT
- HEX2 <= S2 WHEN "00",
- S3 WHEN "01",
- S0 WHEN "10",
- S1 WHEN "11";
- WITH SW(9 downto 8) SELECT
- HEX3 <= S3 WHEN "00",
- S0 WHEN "01",
- S1 WHEN "10",
- S2 WHEN "11";
- END STRUCTURE;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement