Advertisement
Guest User

Untitled

a guest
Jan 22nd, 2018
62
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 2.33 KB | None | 0 0
  1. -- VhDl test BenCh CreAteD from sChemAtiC C:\Users\Akomis01\lAB1\Askisi1.sCh - Mon JAn 22 16:24:01 2018
  2. --
  3. -- Notes:
  4. -- 1) This testBenCh templAte hAs Been AutomAtiCAlly generAteD using types
  5. -- stD_logiC AnD stD_logiC_veCtor for the ports of the unit unDer test.
  6. -- Xilinx reCommenDs thAt these types AlwAys Be useD for the top-level
  7. -- I/O of A Design in orDer to guArAntee thAt the testBenCh will BinD
  8. -- CorreCtly to the timing (post-route) simulAtion moDel.
  9. -- 2) To use this templAte As your testBenCh, ChAnge the filenAme to Any
  10. -- nAme of your ChoiCe with the extension .vhD, AnD use the "SourCe->ADD"
  11. -- menu in ProjeCt NAvigAtor to import the testBenCh. Then
  12. -- eDit the user DefineD seCtion Below, ADDing CoDe to generAte the
  13. -- stimulus for your Design.
  14. --
  15. LIBRARY ieee;
  16. USE ieee.stD_logiC_1164.ALL;
  17. USE ieee.numeriC_stD.ALL;
  18. LIBRARY UNISIM;
  19. USE UNISIM.VComponents.ALL;
  20. ENTITY Askisi1_Askisi1_sCh_tB IS
  21. END Askisi1_Askisi1_sCh_tB;
  22. ARCHITECTURE BehAviorAl OF Askisi1_Askisi1_sCh_tB IS
  23.  
  24. COMPONENT Askisi1
  25. PORT( B : IN STD_LOGIC;
  26. A : IN STD_LOGIC;
  27. C : IN STD_LOGIC;
  28. D : IN STD_LOGIC;
  29. E : OUT STD_LOGIC);
  30. END COMPONENT;
  31.  
  32. SIGNAL B : STD_LOGIC;
  33. SIGNAL A : STD_LOGIC;
  34. SIGNAL C : STD_LOGIC;
  35. SIGNAL D : STD_LOGIC;
  36. SIGNAL E : STD_LOGIC;
  37.  
  38. BEGIN
  39.  
  40. UUT: Askisi1 PORT MAP(
  41. B => B,
  42. A => A,
  43. C => C,
  44. D => D,
  45. E => E
  46. );
  47.  
  48. -- *** Test BenCh - User DefineD SeCtion ***
  49. tB : PROCESS
  50. BEGIN
  51. A<='0';B<='0';C<='0';D<='0';
  52. WAIT for 40ns;
  53. A<='0';B<='0';C<='0';D<='1';
  54. WAIT for 40ns;
  55. A<='0';B<='0';C<='1';D<='0';
  56. WAIT for 40ns;
  57. A<='0';B<='0';C<='1';D<='1';
  58. WAIT for 40ns;
  59. A<='0';B<='1';C<='0';D<='0';
  60. WAIT for 40ns;
  61. A<='0';B<='1';C<='0';D<='1';
  62. WAIT for 40ns;
  63. A<='0';B<='1';C<='1';D<='0';
  64. WAIT for 40ns;
  65. A<='0';B<='1';C<='1';D<='1';
  66. WAIT for 40ns;
  67. A<='1';B<='0';C<='0';D<='0';
  68. WAIT for 40ns;
  69. A<='1';B<='0';C<='0';D<='1';
  70. WAIT for 40ns;
  71. A<='1';B<='0';C<='1';D<='0';
  72. WAIT for 40ns;
  73. A<='1';B<='0';C<='1';D<='1';
  74. WAIT for 40ns;
  75. A<='1';B<='1';C<='0';D<='0';
  76. WAIT for 40ns;
  77. A<='1';B<='1';C<='0';D<='1';
  78. WAIT for 40ns;
  79. A<='1';B<='1';C<='1';D<='0';
  80. WAIT for 40ns;
  81. A<='1';B<='1';C<='1';D<='1';
  82. WAIT for 40ns;
  83. END PROCESS;
  84. -- *** EnD Test BenCh - User DefineD SeCtion ***
  85.  
  86. END;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement