Advertisement
Guest User

Untitled

a guest
Nov 20th, 2019
103
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.16 KB | None | 0 0
  1. module tbmips();
  2. reg clock1;
  3. initial
  4. begin
  5. assign clock1=0;
  6. end
  7. always
  8. begin
  9. #5;
  10. assign clock1=~clock1;
  11. #5;
  12. end
  13. mips_cpu MIPS(clock1);
  14.  
  15. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement