Advertisement
Guest User

Untitled

a guest
Nov 23rd, 2017
77
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.76 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4.  
  5. entity licznik is
  6. port(
  7. dir : in std_logic;
  8. clk1s : in std_logic;
  9. clk01s : in std_logic;
  10. ena : in std_logic;
  11. reset : in std_logic;
  12. L : out std_logic_vector(3 downto 0)
  13. );
  14. end licznik;
  15. --slug
  16. architecture clock of licznik is
  17. signal licznik_reg : unsigned(3 downto 0);
  18. begin
  19. process(dir, clk1s, clk01s, reset)
  20. begin
  21. if (ena = '1') then
  22. if(reset = '1')then
  23. licznik_reg <= unsigned(VAL_START);
  24. elsif(rising_edge(clk1s))then
  25. if (dir = '1') then
  26. licznik_reg <= licznik_reg + 1;
  27. elsif (dir = '0') then
  28. licznik_reg <= licznik_reg - 1;
  29. end if;
  30. end if;
  31. end if;
  32. end process;
  33. L <= std_logic_vector(licznik_reg);
  34. end clock;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement