Advertisement
Guest User

Untitled

a guest
Apr 27th, 2017
82
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module cau3_1_tb();
  2. wire [6:0] out  ;
  3. reg clk         ;
  4. reg rst_n       ;
  5. //
  6. cau3_1 inst
  7.     (
  8.         .out    (out),
  9.         .clk    (clk)       ,
  10.         .rst_n  (rst_n)
  11.     );
  12. //init
  13. initial begin
  14.     clk = 1;
  15.     rst_n = 0;
  16.     #3 rst_n = 1;
  17. end
  18. //generate clk
  19. always begin
  20.  #1 clk = ~clk;
  21. end
  22. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement