Advertisement
Guest User

Untitled

a guest
Dec 21st, 2014
149
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.24 KB | None | 0 0
  1. component tff is
  2. Port ( t : IN BIT ;
  3. clk : IN BIT ;
  4. q : OUT BIT );
  5. end component
  6.  
  7. --Other irrelevant stuff
  8.  
  9. For i IN 0 TO 7 GENERATE
  10. tffx : tff PORT MAP ( tIn , q(i-1) , q(i) ) ;
  11. end GENERATE ;
  12.  
  13. --More irrelevant stuff
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement