Advertisement
Guest User

Untitled

a guest
Apr 28th, 2015
182
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.05 KB | None | 0 0
  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.ALL;
  3. USE ieee.numeric_std.ALL;
  4. LIBRARY UNISIM;
  5. USE UNISIM.Vcomponents.ALL;
  6. ENTITY pamiec22_pamiec22_sch_tb IS
  7. END pamiec22_pamiec22_sch_tb;
  8. ARCHITECTURE behavioral OF pamiec22_pamiec22_sch_tb IS
  9.  
  10.    COMPONENT pamiec22
  11.    PORT( D0 :   IN  STD_LOGIC;
  12.           D1    :   IN  STD_LOGIC;
  13.           A :   IN  STD_LOGIC;
  14.           Q0    :   OUT STD_LOGIC;
  15.           Q1    :   OUT STD_LOGIC;
  16.           Z :   IN  STD_LOGIC);
  17.    END COMPONENT;
  18.  
  19.    SIGNAL D0    :   STD_LOGIC;
  20.    SIGNAL D1    :   STD_LOGIC;
  21.    SIGNAL A :   STD_LOGIC;
  22.    SIGNAL Q0    :   STD_LOGIC;
  23.    SIGNAL Q1    :   STD_LOGIC;
  24.    SIGNAL Z :   STD_LOGIC;
  25.  
  26. BEGIN
  27.  
  28.    UUT: pamiec22 PORT MAP(
  29.         D0 => D0,
  30.         D1 => D1,
  31.         A => A,
  32.         Q0 => Q0,
  33.         Q1 => Q1,
  34.         Z => Z
  35.    );
  36.  
  37.    D0 <= '0', '0' after 1ns, '0' after 3ns, '1' after 4ns;
  38.     D1 <= '0', '1' after 1ns, '0' after 3ns, '0' after 4ns;
  39.     A <= '0',  '0' after 1ns, '0' after 3ns, '1' after 4ns, '0' after 7ns, '1' after 8ns, '0' after 9ns, '1' after 10ns;
  40.     Z <= '0',  '1' after 2ns, '0' after 3ns, '1' after 5ns, '0' after 6ns;
  41. END;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement