Advertisement
Guest User

Untitled

a guest
Dec 2nd, 2016
64
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.92 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3. use ieee.numeric_std.all;
  4.  
  5. entity ChangeSevernSeg is
  6.     port(
  7.         clk      : in  std_logic;
  8.         rst      : in  std_logic;
  9.         segment7 : out std_logic_vector(3 downto 0)
  10.     );
  11. end entity ChangeSevernSeg;
  12.  
  13. architecture Behavioral of ChangeSevernSeg is
  14.     constant clock : integer                      := 1024;
  15.     variable count : integer                      := 0;
  16.     signal sign    : std_logic_vector(3 downto 0) := "0111";
  17.  
  18. begin
  19.     process(clk, rst) is
  20.     begin
  21.         if rst = '1' then
  22.             sign  <= "0111";
  23.             count := 0;
  24.         elsif rising_edge(clk) then
  25.             count := count + 1;
  26.         end if;
  27.         if count = clock then
  28.             count := 0;
  29.             case sign is
  30.                 when "0111" =>
  31.                     sign <= "1011";
  32.                 when "1011" =>
  33.                     sign <= "1101";
  34.                 when "1101" =>
  35.                     sign <= "1110";
  36.                 when "1110" =>
  37.                     sign <= "0111";
  38.             end case;
  39.         end if;
  40.     end process;
  41.     segment7 <= sign;
  42. end architecture Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement