Advertisement
Guest User

Untitled

a guest
Oct 12th, 2014
317
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.59 KB | None | 0 0
  1.  
  2. Model: ST500LT012-9WS142
  3. Firmware: 0002SDM1
  4. Serial: W0VDJ1EQ
  5. LBA: 976773168
  6.  
  7. Report By: HDDScan for Windows version 3.1
  8. Report Date: 10/12/2014 3:45:31 PM
  9.  
  10.  
  11. Num Attribute Name Value Worst Raw(hex) Threshold
  12.  
  13. 001 Raw Read Error Rate 112 099 0000001D-2000 006
  14.  
  15. 003 Spin Up Time 099 099 00000000-0000 000
  16.  
  17. 004 Start/Stop Count 100 100 00000000-0306 020
  18.  
  19. 005 Reallocation Sector Count 098 098 00000000-01B0 036
  20.  
  21. 007 Seek Error Rate 081 060 0001081D-E059 030
  22.  
  23. 009 PowerOn Hours Count 094 094 EB130000-1732 000
  24.  
  25. 010 Spin Retry Count 100 100 00000000-0000 097
  26.  
  27. 012 Device Power Cycle Count 100 100 00000000-0304 020
  28.  
  29. 184 End to End Error 100 100 00000000-0000 099
  30.  
  31. 187 Reported Uncorrectable Error 001 001 00000000-021B 000
  32.  
  33. 188 Command Timeout 099 099 00030003-0004 000
  34.  
  35. 189 High Fly Writes 100 100 00000000-0000 000
  36.  
  37. 190 Airflow Temperature 070 054 30 C 045
  38.  
  39. 191 G-sense error rate 100 100 00000000-014A 000
  40.  
  41. 192 Power-off retract count 100 100 00000000-00C0 000
  42.  
  43. 193 Load/unload cycle count 052 052 00000001-7A1E 000
  44.  
  45. 194 HDA Temperature 030 046 30 C 000
  46.  
  47. 196 Reallocation Event Count 094 094 1BBD0000-1691 030
  48.  
  49. 197 Current Pending Sector Count 075 075 00000000-0208 000
  50.  
  51. 198 Uncorrectable Sector Count 075 075 00000000-0208 000
  52.  
  53. 199 UltraDMA CRC Error Count 200 200 00000000-0000 000
  54.  
  55. 240 Head flying hours 094 094 1BBD0000-1691 000
  56.  
  57. 241 Unknown Attribute 100 253 0000613D-7080 000
  58.  
  59. 242 Unknown Attribute 100 253 0000CFCA-7A47 000
  60.  
  61. 254 G-sense Error Rate 100 100 00000000-0000 000
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement