Advertisement
Guest User

Untitled

a guest
Mar 17th, 2017
87
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.  
  2.     `timescale 1ns/1ps
  3. module bin_cnt_test;
  4.      
  5. reg clk, ena, reset;
  6. logic [2:0] q;
  7. logic [2:0] q1;
  8.      
  9.      
  10. initial begin
  11.     clk=0;
  12.     forever #10 clk=~clk;
  13.     end
  14.      
  15. initial
  16.     begin
  17.     q = 0;
  18.     ena = 1;
  19.     #10 reset = 1;
  20.     reset = 0;
  21.     for(int i = 0; i < 16; i++)
  22.     begin
  23.         #20 $strobe("%b -> %b ", q, q1);
  24.             q = q1;
  25.         if(i /2 == 0)
  26.             ena
  27.             if(i == 3 || i==4|| i==9 || i==10 || i==16)
  28.                 ena = ~ena;
  29.     end
  30.     #10 $stop;
  31.     end
  32.      
  33. bin_cnt uut_inst(clk, ena, ena, q1);
  34.     //bim_cnt_ht2 uut_inst(clk, ena, clrn, q2);
  35. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement