Advertisement
Guest User

Untitled

a guest
Sep 10th, 2016
172
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.99 KB | None | 0 0
  1.  
  2. /*
  3. create_clock -period 3.333 -name ref_clk -waveform {0.000 1.666} [get_ports ref_clk_p]
  4. set_input_delay -clock ref_clk -max 0.200 [get_ports sysref_in]
  5. set_input_delay -clock ref_clk -min -0.500 [get_ports sysref_in]
  6. */
  7.  
  8. module clock_test (
  9. input ref_clk_p,
  10. input ref_clk_n,
  11. input sysref_in_p,
  12. input sysref_in_n,
  13. output data_out
  14. );
  15.  
  16. wire ref_clk;
  17. wire div_clk;
  18.  
  19. wire sysref_in;
  20. reg sysref_r;
  21. reg out;
  22.  
  23. IBUFDS_GTE2 i_ibufds_ref_clk (
  24. .CEB (1'd0),
  25. .I (ref_clk_p),
  26. .IB (ref_clk_n),
  27. .O (ref_clk),
  28. .ODIV2 ());
  29. /*
  30. IBUFDS i_ibufds_ref_clk (
  31. .I(ref_clk_p),
  32. .IB(ref_clk_n),
  33. .O(ref_clk)
  34. );*/
  35.  
  36. BUFH i_bufh_divclk (
  37. .I(ref_clk),
  38. .O(div_clk));
  39.  
  40. /*
  41. BUFG i_bufg_divclk (
  42. .I(ref_clk),
  43. .O(div_clk));
  44. */
  45.  
  46. IBUFDS i_ibufds_sysref (
  47. .I(sysref_in_p),
  48. .IB(sysref_in_n),
  49. .O(sysref_in)
  50. );
  51.  
  52. always @(posedge div_clk) begin
  53. sysref_r <= sysref_in;
  54. out <= out ^ sysref_r;
  55. end
  56.  
  57. assign data_out = out;
  58.  
  59. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement