Advertisement
Guest User

Untitled

a guest
Jul 6th, 2015
174
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.53 KB | None | 0 0
  1. entity ExampleDesign_Atlys is
  2. port (
  3. Atlys_SystemClock_100MHz : in STD_LOGIC;
  4.  
  5. Atlys_GPIO_Button_Reset_n : in STD_LOGIC;
  6. Atlys_GPIO_Switches : in STD_LOGIC_VECTOR(7 downto 0);
  7. Atlys_GPIO_LED : out STD_LOGIC_VECTOR(7 downto 0)
  8. );
  9. end;
  10.  
  11. architecture rtl of ExampleDesign_Atlys is
  12. -- signal definitions ...
  13. begin
  14. IBUF_GPIO_Button_Reset : IBUF
  15. port map (
  16. I => Atlys_GPIO_Button_Reset_n,
  17. O => GPIO_Button_Reset_n_IBUF
  18. );
  19.  
  20. GPIO_Button_Reset_IBUF <= not GPIO_Button_Reset_n_IBUF;
  21.  
  22. -- more logic
  23. end;
  24.  
  25. ERROR:Pack:1107 - Pack was unable to combine the symbols listed below into a
  26. single IOB component because the site type selected is not compatible.
  27.  
  28. Further explanation:
  29. The component type is determined by the types of logic and the properties and
  30. configuration of the logic it contains. In this case an IO component of type
  31. IOB was chosen because the IO contains symbols and/or properties consistent
  32. with input, output, or bi-directional usage and contains no other symbols or
  33. properties that require a more specific IO component type. Please double
  34. check that the types of logic elements and all of their relevant properties
  35. and configuration options are compatible with the physical site type of the
  36. constraint.
  37.  
  38. Summary:
  39. Symbols involved:
  40. BUF symbol "IBUF_GPIO_Button_Reset" (Output Signal = GPIO_Button_Reset_IBUF)
  41. PAD symbol "Atlys_GPIO_Button_Reset_n" (Pad Signal =
  42. Atlys_GPIO_Button_Reset_n)
  43. Component type involved: IOB
  44. Site Location involved:
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement