Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- ----------------
- -- hivek_core --
- ----------------
- type hivek_path_in_t is record
- dcache_data : std_logic_vector(31 downto 0);
- end record;
- type hivek_path_out_t is record
- dcache_wren : std_logic;
- dcache_addr : std_logic_vector(31 downto 0);
- dcache_data : std_logic_vector(31 downto 0);
- end record;
- type hivek_in_t is record
- icache_data : std_logic_vector(63 downto 0);
- op0 : hivek_path_in_t;
- op1 : hivek_path_in_t;
- end record;
- type hivek_out_t is record
- icache_addr : std_logic_vector(31 downto 0);
- op0 : hivek_path_out_t;
- op1 : hivek_path_out_t;
- end record;
- entity hivek is
- port (
- clock : in std_logic;
- reset : in std_logic;
- din : in hivek_in_t;
- dout : out hivek_out_t
- );
- end hivek;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement