Advertisement
Not a member of Pastebin yet?
Sign Up,
it unlocks many cool features!
- *** Running vivado
- with args -log system_wrapper.rdi -applog -m64 -messageDb vivado.pb -mode batch -source system_wrapper.tcl -notrace
- ****** Vivado v2013.4 (64-bit)
- **** SW Build 353583 on Mon Dec 9 17:49:19 MST 2013
- **** IP Build 208076 on Mon Dec 2 12:38:17 MST 2013
- ** Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
- Attempting to get a license: Implementation
- INFO: [Common 17-86] Your Implementation license expires in 23 day(s)
- Feature available: Implementation
- Loading parts and site information from D:/PFE-Install/Vivado/2013.4/data/parts/arch.xml
- Parsing RTL primitives file [D:/PFE-Install/Vivado/2013.4/data/parts/xilinx/rtl/prims/rtl_prims.xml]
- Finished parsing RTL primitives file [D:/PFE-Install/Vivado/2013.4/data/parts/xilinx/rtl/prims/rtl_prims.xml]
- source system_wrapper.tcl -notrace
- Design is defaulting to srcset: sources_1
- Design is defaulting to constrset: constrs_1
- INFO: [Netlist 29-17] Analyzing 32 Unisim elements for replacement
- INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
- INFO: [Project 1-479] Netlist was created with Vivado 2013.4
- Loading clock regions from D:/PFE-Install/Vivado/2013.4/data\parts/xilinx/zynq/zynq/xc7z020/ClockRegion.xml
- Loading clock buffers from D:/PFE-Install/Vivado/2013.4/data\parts/xilinx/zynq/zynq/xc7z020/ClockBuffers.xml
- Loading clock placement rules from D:/PFE-Install/Vivado/2013.4/data/parts/xilinx/zynq/ClockPlacerRules.xml
- Loading package pin functions from D:/PFE-Install/Vivado/2013.4/data\parts/xilinx/zynq/PinFunctions.xml...
- Loading package from D:/PFE-Install/Vivado/2013.4/data\parts/xilinx/zynq/zynq/xc7z020/clg484/Package.xml
- Loading io standards from D:/PFE-Install/Vivado/2013.4/data\./parts/xilinx/zynq/IOStandards.xml
- INFO: [Opt 31-140] Inserted 0 IBUFs to IO ports without IO buffers.
- INFO: [Opt 31-141] Inserted 0 OBUFs to IO ports without IO buffers.
- Parsing XDC File [d:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.srcs/sources_1/bd/system/ip/system_processing_system7_0_0/system_processing_system7_0_0.xdc] for cell 'system_i/processing_system7_0/inst'
- Finished Parsing XDC File [d:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.srcs/sources_1/bd/system/ip/system_processing_system7_0_0/system_processing_system7_0_0.xdc] for cell 'system_i/processing_system7_0/inst'
- Parsing XDC File [d:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.srcs/sources_1/bd/system/ip/system_axi_gpio_0_0/system_axi_gpio_0_0.xdc] for cell 'system_i/axi_gpio_0/U0'
- Finished Parsing XDC File [d:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.srcs/sources_1/bd/system/ip/system_axi_gpio_0_0/system_axi_gpio_0_0.xdc] for cell 'system_i/axi_gpio_0/U0'
- Parsing XDC File [d:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.srcs/sources_1/bd/system/ip/system_axi_gpio_0_0/system_axi_gpio_0_0_board.xdc] for cell 'system_i/axi_gpio_0/U0'
- Finished Parsing XDC File [d:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.srcs/sources_1/bd/system/ip/system_axi_gpio_0_0/system_axi_gpio_0_0_board.xdc] for cell 'system_i/axi_gpio_0/U0'
- Parsing XDC File [d:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.srcs/sources_1/bd/system/ip/system_rst_processing_system7_0_50M_0/system_rst_processing_system7_0_50M_0.xdc] for cell 'system_i/rst_processing_system7_0_50M/U0'
- Finished Parsing XDC File [d:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.srcs/sources_1/bd/system/ip/system_rst_processing_system7_0_50M_0/system_rst_processing_system7_0_50M_0.xdc] for cell 'system_i/rst_processing_system7_0_50M/U0'
- Parsing XDC File [d:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.srcs/sources_1/bd/system/ip/system_rst_processing_system7_0_50M_0/system_rst_processing_system7_0_50M_0_board.xdc] for cell 'system_i/rst_processing_system7_0_50M/U0'
- Finished Parsing XDC File [d:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.srcs/sources_1/bd/system/ip/system_rst_processing_system7_0_50M_0/system_rst_processing_system7_0_50M_0_board.xdc] for cell 'system_i/rst_processing_system7_0_50M/U0'
- Parsing XDC File [D:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.srcs/constrs_1/new/PL_pin.xdc]
- Finished Parsing XDC File [D:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.srcs/constrs_1/new/PL_pin.xdc]
- Parsing XDC File [D:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.runs/impl_1/.Xil/Vivado-2576-/dcp/system_wrapper.xdc]
- Finished Parsing XDC File [D:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.runs/impl_1/.Xil/Vivado-2576-/dcp/system_wrapper.xdc]
- INFO: [Opt 31-138] Pushed 0 inverter(s).
- INFO: [Memdata 28-144] Successfully populated the BRAM INIT strings from the following elf files:
- INFO: [Project 1-111] Unisim Transformation Summary:
- A total of 32 instances were transformed.
- IOBUF => IOBUF (OBUFT, IBUF): 32 instances
- link_design: Time (s): cpu = 00:00:37 ; elapsed = 00:00:38 . Memory (MB): peak = 870.211 ; gain = 685.270
- Command: opt_design
- Attempting to get a license for feature 'Implementation' and/or device 'xc7z020'
- INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020'
- Running DRC as a precondition to command opt_design
- Starting DRC Task
- INFO: [Drc 23-27] Running DRC with 2 threads
- INFO: [Project 1-461] DRC finished with 0 Errors
- INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
- Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.317 . Memory (MB): peak = 873.160 ; gain = 2.949
- Starting Logic Optimization Task
- INFO: [Timing 38-35] Done setting XDC timing constraints.
- Phase 1 Retarget
- INFO: [Opt 31-138] Pushed 0 inverter(s).
- INFO: [Opt 31-49] Retargeted 0 cell(s).
- Phase 1 Retarget | Checksum: febc22fd
- Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.510 . Memory (MB): peak = 877.820 ; gain = 4.660
- Phase 2 Constant Propagation
- INFO: [Opt 31-138] Pushed 0 inverter(s).
- INFO: [Opt 31-10] Eliminated 40 cells.
- Phase 2 Constant Propagation | Checksum: 1c4ccb1d6
- Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 877.820 ; gain = 4.660
- Phase 3 Sweep
- INFO: [Opt 31-12] Eliminated 198 unconnected nets.
- INFO: [Opt 31-11] Eliminated 259 unconnected cells.
- Phase 3 Sweep | Checksum: 1fe945334
- Time (s): cpu = 00:00:02 ; elapsed = 00:00:02 . Memory (MB): peak = 877.820 ; gain = 4.660
- Ending Logic Optimization Task | Checksum: 1fe945334
- Time (s): cpu = 00:00:00 ; elapsed = 00:00:02 . Memory (MB): peak = 877.820 ; gain = 4.660
- Implement Debug Cores | Checksum: febc22fd
- Logic Optimization | Checksum: febc22fd
- Starting Power Optimization Task
- Ending Power Optimization Task | Checksum: 1fe945334
- Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.086 . Memory (MB): peak = 877.820 ; gain = 0.000
- INFO: [Common 17-83] Releasing license: Implementation
- 20 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered.
- opt_design completed successfully
- INFO: [Timing 38-35] Done setting XDC timing constraints.
- Writing XDEF routing.
- Writing XDEF routing logical nets.
- Writing XDEF routing special nets.
- Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.270 . Memory (MB): peak = 879.438 ; gain = 0.000
- Command: place_design
- Attempting to get a license for feature 'Implementation' and/or device 'xc7z020'
- INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020'
- Running DRC as a precondition to command place_design
- INFO: [Drc 23-27] Running DRC with 2 threads
- INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
- INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
- Starting Placer Task
- INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs
- Phase 1 Placer Initialization
- Phase 1.1 Placer Initialization Core
- Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.020 . Memory (MB): peak = 881.684 ; gain = 0.000
- Phase 1.1.1 Mandatory Logic Optimization
- INFO: [Opt 31-140] Inserted 0 IBUFs to IO ports without IO buffers.
- INFO: [Opt 31-141] Inserted 0 OBUFs to IO ports without IO buffers.
- INFO: [Opt 31-138] Pushed 0 inverter(s).
- Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.011 . Memory (MB): peak = 881.684 ; gain = 0.000
- Phase 1.1.1 Mandatory Logic Optimization | Checksum: bec50440
- Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.310 . Memory (MB): peak = 881.684 ; gain = 0.000
- Phase 1.1.2 Build Super Logic Region (SLR) Database
- Phase 1.1.2 Build Super Logic Region (SLR) Database | Checksum: bec50440
- Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.356 . Memory (MB): peak = 881.684 ; gain = 0.000
- Phase 1.1.3 Add Constraints
- Phase 1.1.3 Add Constraints | Checksum: bec50440
- Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.361 . Memory (MB): peak = 881.684 ; gain = 0.000
- Phase 1.1.4 Build Macros
- Phase 1.1.4 Build Macros | Checksum: 19c4b338a
- Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.896 . Memory (MB): peak = 881.684 ; gain = 0.000
- Phase 1.1.5 Implementation Feasibility check
- WARNING: [Place 30-87] Partially locked IO Bus is found. Following components of the IO Bus led_dutycycle_tri_io are not locked: 'led_dutycycle_tri_io[8]' 'led_dutycycle_tri_io[9]' 'led_dutycycle_tri_io[10]' 'led_dutycycle_tri_io[11]' 'led_dutycycle_tri_io[12]' 'led_dutycycle_tri_io[13]' 'led_dutycycle_tri_io[14]' 'led_dutycycle_tri_io[15]' 'led_dutycycle_tri_io[16]' 'led_dutycycle_tri_io[17]' 'led_dutycycle_tri_io[18]' 'led_dutycycle_tri_io[19]' 'led_dutycycle_tri_io[20]' 'led_dutycycle_tri_io[21]' 'led_dutycycle_tri_io[22]' 'led_dutycycle_tri_io[23]' 'led_dutycycle_tri_io[24]' 'led_dutycycle_tri_io[25]' 'led_dutycycle_tri_io[26]' 'led_dutycycle_tri_io[27]' 'led_dutycycle_tri_io[28]' 'led_dutycycle_tri_io[29]' 'led_dutycycle_tri_io[30]' 'led_dutycycle_tri_io[31]'
- WARNING: [Place 30-12] An IO Bus FIXED_IO_mio with more than one IO standard is found. Components associated with this bus are:
- FIXED_IO_mio[0] of IOStandard LVCMOS33
- FIXED_IO_mio[1] of IOStandard LVCMOS33
- FIXED_IO_mio[2] of IOStandard LVCMOS33
- FIXED_IO_mio[3] of IOStandard LVCMOS33
- FIXED_IO_mio[4] of IOStandard LVCMOS33
- FIXED_IO_mio[5] of IOStandard LVCMOS33
- FIXED_IO_mio[6] of IOStandard LVCMOS33
- FIXED_IO_mio[7] of IOStandard LVCMOS33
- FIXED_IO_mio[8] of IOStandard LVCMOS33
- FIXED_IO_mio[9] of IOStandard LVCMOS33
- FIXED_IO_mio[10] of IOStandard LVCMOS33
- FIXED_IO_mio[11] of IOStandard LVCMOS33
- FIXED_IO_mio[12] of IOStandard LVCMOS33
- FIXED_IO_mio[13] of IOStandard LVCMOS33
- FIXED_IO_mio[14] of IOStandard LVCMOS33
- FIXED_IO_mio[15] of IOStandard LVCMOS33
- FIXED_IO_mio[16] of IOStandard LVCMOS18
- FIXED_IO_mio[17] of IOStandard LVCMOS18
- FIXED_IO_mio[18] of IOStandard LVCMOS18
- FIXED_IO_mio[19] of IOStandard LVCMOS18
- FIXED_IO_mio[20] of IOStandard LVCMOS18
- FIXED_IO_mio[21] of IOStandard LVCMOS18
- FIXED_IO_mio[22] of IOStandard LVCMOS18
- FIXED_IO_mio[23] of IOStandard LVCMOS18
- FIXED_IO_mio[24] of IOStandard LVCMOS18
- FIXED_IO_mio[25] of IOStandard LVCMOS18
- FIXED_IO_mio[26] of IOStandard LVCMOS18
- FIXED_IO_mio[27] of IOStandard LVCMOS18
- FIXED_IO_mio[28] of IOStandard LVCMOS18
- FIXED_IO_mio[29] of IOStandard LVCMOS18
- FIXED_IO_mio[30] of IOStandard LVCMOS18
- FIXED_IO_mio[31] of IOStandard LVCMOS18
- FIXED_IO_mio[32] of IOStandard LVCMOS18
- FIXED_IO_mio[33] of IOStandard LVCMOS18
- FIXED_IO_mio[34] of IOStandard LVCMOS18
- FIXED_IO_mio[35] of IOStandard LVCMOS18
- FIXED_IO_mio[36] of IOStandard LVCMOS18
- FIXED_IO_mio[37] of IOStandard LVCMOS18
- FIXED_IO_mio[38] of IOStandard LVCMOS18
- FIXED_IO_mio[39] of IOStandard LVCMOS18
- FIXED_IO_mio[40] of IOStandard LVCMOS18
- FIXED_IO_mio[41] of IOStandard LVCMOS18
- FIXED_IO_mio[42] of IOStandard LVCMOS18
- FIXED_IO_mio[43] of IOStandard LVCMOS18
- FIXED_IO_mio[44] of IOStandard LVCMOS18
- FIXED_IO_mio[45] of IOStandard LVCMOS18
- FIXED_IO_mio[46] of IOStandard LVCMOS18
- FIXED_IO_mio[47] of IOStandard LVCMOS18
- FIXED_IO_mio[48] of IOStandard LVCMOS18
- FIXED_IO_mio[49] of IOStandard LVCMOS18
- FIXED_IO_mio[50] of IOStandard LVCMOS18
- FIXED_IO_mio[51] of IOStandard LVCMOS18
- FIXED_IO_mio[52] of IOStandard LVCMOS18
- FIXED_IO_mio[53] of IOStandard LVCMOS18
- WARNING: [Place 30-12] An IO Bus led_dutycycle_tri_io with more than one IO standard is found. Components associated with this bus are:
- led_dutycycle_tri_io[0] of IOStandard LVCMOS33
- led_dutycycle_tri_io[1] of IOStandard LVCMOS33
- led_dutycycle_tri_io[2] of IOStandard LVCMOS33
- led_dutycycle_tri_io[3] of IOStandard LVCMOS33
- led_dutycycle_tri_io[4] of IOStandard LVCMOS33
- led_dutycycle_tri_io[5] of IOStandard LVCMOS33
- led_dutycycle_tri_io[6] of IOStandard LVCMOS33
- led_dutycycle_tri_io[7] of IOStandard LVCMOS33
- led_dutycycle_tri_io[8] of IOStandard LVCMOS18
- led_dutycycle_tri_io[9] of IOStandard LVCMOS18
- led_dutycycle_tri_io[10] of IOStandard LVCMOS18
- led_dutycycle_tri_io[11] of IOStandard LVCMOS18
- led_dutycycle_tri_io[12] of IOStandard LVCMOS18
- led_dutycycle_tri_io[13] of IOStandard LVCMOS18
- led_dutycycle_tri_io[14] of IOStandard LVCMOS18
- led_dutycycle_tri_io[15] of IOStandard LVCMOS18
- led_dutycycle_tri_io[16] of IOStandard LVCMOS18
- led_dutycycle_tri_io[17] of IOStandard LVCMOS18
- led_dutycycle_tri_io[18] of IOStandard LVCMOS18
- led_dutycycle_tri_io[19] of IOStandard LVCMOS18
- led_dutycycle_tri_io[20] of IOStandard LVCMOS18
- led_dutycycle_tri_io[21] of IOStandard LVCMOS18
- led_dutycycle_tri_io[22] of IOStandard LVCMOS18
- led_dutycycle_tri_io[23] of IOStandard LVCMOS18
- led_dutycycle_tri_io[24] of IOStandard LVCMOS18
- led_dutycycle_tri_io[25] of IOStandard LVCMOS18
- led_dutycycle_tri_io[26] of IOStandard LVCMOS18
- led_dutycycle_tri_io[27] of IOStandard LVCMOS18
- led_dutycycle_tri_io[28] of IOStandard LVCMOS18
- led_dutycycle_tri_io[29] of IOStandard LVCMOS18
- led_dutycycle_tri_io[30] of IOStandard LVCMOS18
- led_dutycycle_tri_io[31] of IOStandard LVCMOS18
- Phase 1.1.5 Implementation Feasibility check | Checksum: 19c4b338a
- Time (s): cpu = 00:00:00 ; elapsed = 00:00:01 . Memory (MB): peak = 881.684 ; gain = 0.000
- Phase 1.1.6 Pre-Place Cells
- Phase 1.1.6 Pre-Place Cells | Checksum: 19c4b338a
- Time (s): cpu = 00:00:00 ; elapsed = 00:00:01 . Memory (MB): peak = 881.684 ; gain = 0.000
- Phase 1.1.7 IO Placement/ Clock Placement/ Build Placer Device
- Phase 1.1.7 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1afbafedb
- Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 885.328 ; gain = 3.645
- Phase 1.1.8 Build Placer Netlist Model
- Phase 1.1.8.1 Place Init Design
- Phase 1.1.8.1.1 Build Clock Data
- Phase 1.1.8.1.1 Build Clock Data | Checksum: 23bd41232
- Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 885.535 ; gain = 3.852
- Phase 1.1.8.1 Place Init Design | Checksum: 298fc207e
- Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 885.535 ; gain = 3.852
- Phase 1.1.8 Build Placer Netlist Model | Checksum: 298fc207e
- Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 885.535 ; gain = 3.852
- Phase 1.1.9 Constrain Clocks/Macros
- Phase 1.1.9.1 Constrain Global/Regional Clocks
- Phase 1.1.9.1 Constrain Global/Regional Clocks | Checksum: 298fc207e
- Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 885.535 ; gain = 3.852
- Phase 1.1.9 Constrain Clocks/Macros | Checksum: 298fc207e
- Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 885.535 ; gain = 3.852
- Phase 1.1 Placer Initialization Core | Checksum: 298fc207e
- Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 885.535 ; gain = 3.852
- Phase 1 Placer Initialization | Checksum: 298fc207e
- Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 885.535 ; gain = 3.852
- Phase 2 Global Placement
- Phase 2.1 Run Budgeter
- Phase 2.1 Run Budgeter | Checksum: 2742aaded
- Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 891.207 ; gain = 9.523
- Phase 2 Global Placement | Checksum: 1ee225f38
- Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 891.207 ; gain = 9.523
- Phase 3 Detail Placement
- Phase 3.1 Commit Multi Column Macros
- Phase 3.1 Commit Multi Column Macros | Checksum: 1ee225f38
- Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 891.207 ; gain = 9.523
- Phase 3.2 Commit Most Macros & LUTRAMs
- Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 1e05dbfd9
- Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 898.668 ; gain = 16.984
- Phase 3.3 Area Swap Optimization
- Phase 3.3 Area Swap Optimization | Checksum: 25b987547
- Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 898.668 ; gain = 16.984
- Phase 3.4 Timing Path Optimizer
- Phase 3.4 Timing Path Optimizer | Checksum: 2a7a6d0f8
- Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 899.840 ; gain = 18.156
- Phase 3.5 Commit Small Macros & Core Logic
- Phase 3.5 Commit Small Macros & Core Logic | Checksum: 227e8fa72
- Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 3.6 Re-assign LUT pins
- Phase 3.6 Re-assign LUT pins | Checksum: 227e8fa72
- Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 3 Detail Placement | Checksum: 227e8fa72
- Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 4 Post Placement Optimization and Clean-Up
- Phase 4.1 PCOPT Shape updates
- Phase 4.1 PCOPT Shape updates | Checksum: 1c9c45e9c
- Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 4.2 Post Placement Optimization
- Phase 4.2.1 Post Placement Timing Optimization
- Phase 4.2.1 Post Placement Timing Optimization | Checksum: 28d4ed44a
- Time (s): cpu = 00:00:13 ; elapsed = 00:00:12 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 4.2 Post Placement Optimization | Checksum: 28d4ed44a
- Time (s): cpu = 00:00:13 ; elapsed = 00:00:12 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 4.3 Post Placement Cleanup
- Phase 4.3 Post Placement Cleanup | Checksum: 28d4ed44a
- Time (s): cpu = 00:00:13 ; elapsed = 00:00:12 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 4.4 Placer Reporting
- Phase 4.4.1 Congestion Reporting
- Phase 4.4.1 Congestion Reporting | Checksum: 28d4ed44a
- Time (s): cpu = 00:00:13 ; elapsed = 00:00:12 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 4.4.2 updateTiming final
- Phase 4.4.2 updateTiming final | Checksum: 2afdbb91e
- Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 4.4.3 Dump Critical Paths
- Phase 4.4.3 Dump Critical Paths | Checksum: 2afdbb91e
- Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 4.4.4 Restore STA
- Phase 4.4.4 Restore STA | Checksum: 2afdbb91e
- Time (s): cpu = 00:00:13 ; elapsed = 00:00:13 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 4.4.5 Print Final WNS
- INFO: [Place 30-100] Post Placement Timing Summary | WNS=12.642 | TNS=0.000 |
- Phase 4.4.5 Print Final WNS | Checksum: 2afdbb91e
- Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 4.4 Placer Reporting | Checksum: 2afdbb91e
- Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 4.5 Final Placement Cleanup
- Phase 4.5 Final Placement Cleanup | Checksum: 23fd4de08
- Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 904.504 ; gain = 22.820
- Phase 4 Post Placement Optimization and Clean-Up | Checksum: 23fd4de08
- Time (s): cpu = 00:00:14 ; elapsed = 00:00:13 . Memory (MB): peak = 904.504 ; gain = 22.820
- Ending Placer Task | Checksum: 154f79254
- Time (s): cpu = 00:00:00 ; elapsed = 00:00:13 . Memory (MB): peak = 904.504 ; gain = 22.820
- INFO: [Common 17-83] Releasing license: Implementation
- 31 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered.
- place_design completed successfully
- place_design: Time (s): cpu = 00:00:15 ; elapsed = 00:00:14 . Memory (MB): peak = 904.504 ; gain = 25.066
- INFO: [Timing 38-163] DEBUG : Generate clock report | CPU: 0 secs
- report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.072 . Memory (MB): peak = 906.809 ; gain = 0.000
- INFO: [Designutils 20-134] DEBUG : Generate Control Sets report | CPU: 0 secs
- Writing XDEF routing.
- Writing XDEF routing logical nets.
- Writing XDEF routing special nets.
- Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.381 . Memory (MB): peak = 906.809 ; gain = 0.000
- Command: route_design
- Attempting to get a license for feature 'Implementation' and/or device 'xc7z020'
- INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020'
- Running DRC as a precondition to command route_design
- INFO: [Drc 23-27] Running DRC with 2 threads
- INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors, 3 Warnings
- INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
- Starting Routing Task
- INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs
- Starting Route Task
- Phase 1 Build RT Design
- Phase 1.1 Build Netlist & NodeGraph
- Phase 1.1 Build Netlist & NodeGraph | Checksum: 154f79254
- Time (s): cpu = 00:02:17 ; elapsed = 00:01:03 . Memory (MB): peak = 1051.848 ; gain = 128.340
- Phase 1 Build RT Design | Checksum: 15c52eb8c
- Time (s): cpu = 00:02:17 ; elapsed = 00:01:04 . Memory (MB): peak = 1051.848 ; gain = 128.340
- Phase 2 Router Initialization
- Phase 2.1 Create Timer
- Phase 2.1 Create Timer | Checksum: 15c52eb8c
- Time (s): cpu = 00:02:17 ; elapsed = 00:01:04 . Memory (MB): peak = 1051.848 ; gain = 128.340
- Phase 2.2 Restore Routing
- Phase 2.2 Restore Routing | Checksum: 15c52eb8c
- Time (s): cpu = 00:02:18 ; elapsed = 00:01:04 . Memory (MB): peak = 1060.168 ; gain = 136.660
- Phase 2.3 Special Net Routing
- Number of Nodes with overlaps = 0
- Phase 2.3 Special Net Routing | Checksum: c805c552
- Time (s): cpu = 00:02:18 ; elapsed = 00:01:05 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 2.4 Local Clock Net Routing
- Phase 2.4 Local Clock Net Routing | Checksum: c805c552
- Time (s): cpu = 00:02:18 ; elapsed = 00:01:05 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 2.5 Update Timing
- Phase 2.5.1 Update timing with NCN CRPR
- Phase 2.5.1.1 Hold Budgeting
- Phase 2.5.1.1 Hold Budgeting | Checksum: c805c552
- Time (s): cpu = 00:02:19 ; elapsed = 00:01:06 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 2.5.1 Update timing with NCN CRPR | Checksum: c805c552
- Time (s): cpu = 00:02:19 ; elapsed = 00:01:06 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 2.5 Update Timing | Checksum: c805c552
- Time (s): cpu = 00:02:19 ; elapsed = 00:01:06 . Memory (MB): peak = 1076.016 ; gain = 152.508
- INFO: [Route 35-57] Estimated Timing Summary | WNS=12.8 | TNS=0 | WHS=-0.186 | THS=-17.7 |
- Phase 2.6 Budgeting
- Phase 2.6 Budgeting | Checksum: c805c552
- Time (s): cpu = 00:02:20 ; elapsed = 00:01:06 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 2 Router Initialization | Checksum: c805c552
- Time (s): cpu = 00:02:20 ; elapsed = 00:01:06 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 3 Initial Routing
- Phase 3 Initial Routing | Checksum: 5c01e538
- Time (s): cpu = 00:02:20 ; elapsed = 00:01:06 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 4 Rip-up And Reroute
- Phase 4.1 Global Iteration 0
- Phase 4.1.1 Remove Overlaps
- Number of Nodes with overlaps = 70
- Number of Nodes with overlaps = 0
- Phase 4.1.1 Remove Overlaps | Checksum: 1ea5a4e91
- Time (s): cpu = 00:02:21 ; elapsed = 00:01:07 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 4.1.2 Update Timing
- Phase 4.1.2 Update Timing | Checksum: 1ea5a4e91
- Time (s): cpu = 00:02:21 ; elapsed = 00:01:07 . Memory (MB): peak = 1076.016 ; gain = 152.508
- INFO: [Route 35-57] Estimated Timing Summary | WNS=10.1 | TNS=0 | WHS=N/A | THS=N/A |
- Phase 4.1.3 collectNewHoldAndFix
- Phase 4.1.3 collectNewHoldAndFix | Checksum: 174deab09
- Time (s): cpu = 00:02:21 ; elapsed = 00:01:07 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 4.1 Global Iteration 0 | Checksum: 174deab09
- Time (s): cpu = 00:02:22 ; elapsed = 00:01:07 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 4.2 Global Iteration 1
- Phase 4.2.1 Remove Overlaps
- Number of Nodes with overlaps = 2
- Number of Nodes with overlaps = 0
- Phase 4.2.1 Remove Overlaps | Checksum: b286c113
- Time (s): cpu = 00:02:22 ; elapsed = 00:01:07 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 4.2.2 Update Timing
- Phase 4.2.2 Update Timing | Checksum: b286c113
- Time (s): cpu = 00:02:22 ; elapsed = 00:01:07 . Memory (MB): peak = 1076.016 ; gain = 152.508
- INFO: [Route 35-57] Estimated Timing Summary | WNS=10.1 | TNS=0 | WHS=N/A | THS=N/A |
- Phase 4.2.3 collectNewHoldAndFix
- Phase 4.2.3 collectNewHoldAndFix | Checksum: b286c113
- Time (s): cpu = 00:02:22 ; elapsed = 00:01:07 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 4.2 Global Iteration 1 | Checksum: b286c113
- Time (s): cpu = 00:02:22 ; elapsed = 00:01:07 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 4 Rip-up And Reroute | Checksum: b286c113
- Time (s): cpu = 00:02:22 ; elapsed = 00:01:07 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 5 Delay CleanUp
- Phase 5.1 Update Timing
- Phase 5.1 Update Timing | Checksum: b286c113
- Time (s): cpu = 00:02:22 ; elapsed = 00:01:07 . Memory (MB): peak = 1076.016 ; gain = 152.508
- INFO: [Route 35-57] Estimated Timing Summary | WNS=10.1 | TNS=0 | WHS=N/A | THS=N/A |
- Phase 5 Delay CleanUp | Checksum: b286c113
- Time (s): cpu = 00:02:22 ; elapsed = 00:01:07 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 6 Post Hold Fix
- Phase 6.1 Full Hold Analysis
- Phase 6.1.1 Update Timing
- Phase 6.1.1 Update Timing | Checksum: b286c113
- Time (s): cpu = 00:02:22 ; elapsed = 00:01:08 . Memory (MB): peak = 1076.016 ; gain = 152.508
- INFO: [Route 35-57] Estimated Timing Summary | WNS=10.1 | TNS=0 | WHS=0.048 | THS=0 |
- Phase 6.1 Full Hold Analysis | Checksum: b286c113
- Time (s): cpu = 00:02:22 ; elapsed = 00:01:08 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 6 Post Hold Fix | Checksum: b286c113
- Time (s): cpu = 00:02:22 ; elapsed = 00:01:08 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Router Utilization Summary
- Global Vertical Routing Utilization = 0.454178 %
- Global Horizontal Routing Utilization = 0.414638 %
- Routable Net Status*
- *Does not include unroutable nets such as driverless and loadless.
- Run report_route_status for detailed report.
- Number of Failed Nets = 0
- Number of Unrouted Nets = 0
- Number of Partially Routed Nets = 0
- Number of Node Overlaps = 0
- Phase 7 Verifying routed nets
- Verification completed successfully
- Phase 7 Verifying routed nets | Checksum: b286c113
- Time (s): cpu = 00:02:22 ; elapsed = 00:01:08 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 8 Depositing Routes
- Phase 8 Depositing Routes | Checksum: dde90d43
- Time (s): cpu = 00:02:23 ; elapsed = 00:01:08 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Phase 9 Post Router Timing
- INFO: [Route 35-20] Post Routing Timing Summary | WNS=10.116 | TNS=0.000 | WHS=0.049 | THS=0.000 |
- INFO: [Route 35-61] The design met the timing requirement.
- Phase 9 Post Router Timing | Checksum: dde90d43
- Time (s): cpu = 00:02:26 ; elapsed = 00:01:10 . Memory (MB): peak = 1076.016 ; gain = 152.508
- INFO: [Route 35-16] Router Completed Successfully
- Ending Route Task | Checksum: dde90d43
- Time (s): cpu = 00:00:00 ; elapsed = 00:01:10 . Memory (MB): peak = 1076.016 ; gain = 152.508
- Routing Is Done.
- Time (s): cpu = 00:00:00 ; elapsed = 00:01:10 . Memory (MB): peak = 1076.016 ; gain = 152.508
- INFO: [Common 17-83] Releasing license: Implementation
- 47 Infos, 3 Warnings, 0 Critical Warnings and 0 Errors encountered.
- route_design completed successfully
- route_design: Time (s): cpu = 00:02:27 ; elapsed = 00:01:12 . Memory (MB): peak = 1076.016 ; gain = 169.207
- INFO: [Drc 23-27] Running DRC with 2 threads
- INFO: [Coretcl 2-168] The results of DRC are in file D:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.runs/impl_1/system_wrapper_drc_routed.rpt.
- Running Vector-less Activity Propagation...
- Finished Running Vector-less Activity Propagation
- INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max, Constraints type: SDC.
- INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs
- Writing XDEF routing.
- Writing XDEF routing logical nets.
- Writing XDEF routing special nets.
- Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.474 . Memory (MB): peak = 1076.016 ; gain = 0.000
- INFO: [Common 17-206] Exiting Vivado at Wed Apr 16 11:46:07 2014...
- *** Running vivado
- with args -log system_wrapper.rdi -applog -m64 -messageDb vivado.pb -mode batch -source system_wrapper.tcl -notrace
- ****** Vivado v2013.4 (64-bit)
- **** SW Build 353583 on Mon Dec 9 17:49:19 MST 2013
- **** IP Build 208076 on Mon Dec 2 12:38:17 MST 2013
- ** Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved.
- Attempting to get a license: Implementation
- INFO: [Common 17-86] Your Implementation license expires in 23 day(s)
- Feature available: Implementation
- Loading parts and site information from D:/PFE-Install/Vivado/2013.4/data/parts/arch.xml
- Parsing RTL primitives file [D:/PFE-Install/Vivado/2013.4/data/parts/xilinx/rtl/prims/rtl_prims.xml]
- Finished parsing RTL primitives file [D:/PFE-Install/Vivado/2013.4/data/parts/xilinx/rtl/prims/rtl_prims.xml]
- source system_wrapper.tcl -notrace
- Command: open_checkpoint system_wrapper_routed.dcp
- INFO: [Netlist 29-17] Analyzing 32 Unisim elements for replacement
- INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
- INFO: [Project 1-479] Netlist was created with Vivado 2013.4
- Loading clock regions from D:/PFE-Install/Vivado/2013.4/data\parts/xilinx/zynq/zynq/xc7z020/ClockRegion.xml
- Loading clock buffers from D:/PFE-Install/Vivado/2013.4/data\parts/xilinx/zynq/zynq/xc7z020/ClockBuffers.xml
- Loading clock placement rules from D:/PFE-Install/Vivado/2013.4/data/parts/xilinx/zynq/ClockPlacerRules.xml
- Loading package pin functions from D:/PFE-Install/Vivado/2013.4/data\parts/xilinx/zynq/PinFunctions.xml...
- Loading package from D:/PFE-Install/Vivado/2013.4/data\parts/xilinx/zynq/zynq/xc7z020/clg484/Package.xml
- Loading io standards from D:/PFE-Install/Vivado/2013.4/data\./parts/xilinx/zynq/IOStandards.xml
- Parsing XDC File [D:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.runs/impl_1/.Xil/Vivado-7836-/dcp/system_wrapper.xdc]
- Finished Parsing XDC File [D:/PFE-Install/Vivado/2013.4/examples/Vivado_Tutorial/Projects/LED/LED.runs/impl_1/.Xil/Vivado-7836-/dcp/system_wrapper.xdc]
- Reading XDEF placement.
- Reading XDEF routing.
- Read XDEF File: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.192 . Memory (MB): peak = 869.305 ; gain = 0.000
- Restoring placement.
- Restored 519 out of 519 XDEF sites from archive | CPU: 0.000000 secs | Memory: 0.000000 MB |
- INFO: [Opt 31-138] Pushed 0 inverter(s).
- INFO: [Memdata 28-144] Successfully populated the BRAM INIT strings from the following elf files:
- INFO: [Project 1-111] Unisim Transformation Summary:
- A total of 32 instances were transformed.
- IOBUF => IOBUF (OBUFT, IBUF): 32 instances
- INFO: [Project 1-484] Checkpoint was created with build 353583
- open_checkpoint: Time (s): cpu = 00:00:35 ; elapsed = 00:00:37 . Memory (MB): peak = 870.168 ; gain = 685.160
- Attempting to get a license for feature 'Implementation' and/or device 'xc7z020'
- INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7z020'
- Running DRC as a precondition to command write_bitstream
- INFO: [Drc 23-27] Running DRC with 2 threads
- ERROR: [Drc 23-20] Rule violation (NSTD-1) Unspecified I/O Standard - 24 out of 163 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: led_dutycycle_tri_io[31], led_dutycycle_tri_io[30], led_dutycycle_tri_io[29], led_dutycycle_tri_io[28], led_dutycycle_tri_io[27], led_dutycycle_tri_io[26], led_dutycycle_tri_io[25], led_dutycycle_tri_io[24], led_dutycycle_tri_io[23], led_dutycycle_tri_io[22], led_dutycycle_tri_io[21], led_dutycycle_tri_io[20], led_dutycycle_tri_io[19], led_dutycycle_tri_io[18], led_dutycycle_tri_io[17] (the first 15 of 24 listed).
- ERROR: [Drc 23-20] Rule violation (UCIO-1) Unconstrained Logical Port - 25 out of 163 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: led_dutycycle_tri_io[31], led_dutycycle_tri_io[30], led_dutycycle_tri_io[29], led_dutycycle_tri_io[28], led_dutycycle_tri_io[27], led_dutycycle_tri_io[26], led_dutycycle_tri_io[25], led_dutycycle_tri_io[24], led_dutycycle_tri_io[23], led_dutycycle_tri_io[22], led_dutycycle_tri_io[21], led_dutycycle_tri_io[20], led_dutycycle_tri_io[19], led_dutycycle_tri_io[18], led_dutycycle_tri_io[17] (the first 15 of 25 listed).
- INFO: [Vivado 12-3199] DRC finished with 2 Errors, 131 Warnings, 1 Advisories
- INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information.
- ERROR: [Vivado 12-1345] Error(s) found during DRC. Bitgen not run.
- INFO: [Common 17-83] Releasing license: Implementation
- ERROR: [Common 17-39] 'write_bitstream' failed due to earlier errors.
- while executing
- "write_bitstream -force system_wrapper.bit "
- INFO: [Common 17-206] Exiting Vivado at Wed Apr 16 11:47:06 2014...
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement