Advertisement
Guest User

Untitled

a guest
Jul 23rd, 2014
155
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.32 KB | None | 0 0
  1. module checkfreq(clkin, refclk, freq);
  2. input refclk;
  3. input clkin;
  4. output [13:0] freq;
  5.  
  6. reg freq;
  7. reg [13:0] count;
  8.  
  9. always @(posedge refclk)
  10. begin
  11. if (clkin)
  12. begin
  13. count = count + 1'd1;
  14. end
  15. else
  16. begin
  17. freq = (50000/count); //Clock de controle de 50kHz
  18. end
  19. end
  20.  
  21. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement