Advertisement
Tyler_Elric

Lab 6 C

Nov 12th, 2015
189
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.45 KB | None | 0 0
  1. LIBRARY ieee ;
  2. USE ieee.std_logic_1164.all ;
  3.  
  4. ENTITY lab6_c IS
  5.     PORT (  w   : IN    STD_LOGIC_VECTOR(1 DOWNTO 0) ;
  6.         En  : IN    STD_LOGIC ;
  7.         y   : OUT   STD_LOGIC_VECTOR(0 TO 3) ) ;
  8. END lab6_c ;
  9.  
  10. ARCHITECTURE Behavior OF lab6_c IS
  11.     SIGNAL Enw : STD_LOGIC_VECTOR(2 DOWNTO 0) ;
  12. BEGIN
  13.     Enw <= En & w ;
  14.     WITH Enw SELECT
  15.            y <= "1000" WHEN "100",
  16.         "0100" WHEN "101",
  17.         "0010" WHEN "110",
  18.         "0001" WHEN "111",
  19.         "0000" WHEN OTHERS ;
  20. END Behavior ;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement