Advertisement
Guest User

Untitled

a guest
Dec 26th, 2016
140
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 12.57 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.std_logic_1164.all;  -- defines std_logic types
  3. use IEEE.STD_LOGIC_ARITH.ALL;
  4. use IEEE.STD_LOGIC_UNSIGNED.ALL;
  5.  
  6. -- Copyright (C) 2007, Peter C. Wallace, Mesa Electronics
  7. -- http://www.mesanet.com
  8. --
  9. -- This program is is licensed under a disjunctive dual license giving you
  10. -- the choice of one of the two following sets of free software/open source
  11. -- licensing terms:
  12. --
  13. --    * GNU General Public License (GPL), version 2.0 or later
  14. --    * 3-clause BSD License
  15. --
  16. --
  17. -- The GNU GPL License:
  18. --
  19. --     This program is free software; you can redistribute it and/or modify
  20. --     it under the terms of the GNU General Public License as published by
  21. --     the Free Software Foundation; either version 2 of the License, or
  22. --     (at your option) any later version.
  23. --
  24. --     This program is distributed in the hope that it will be useful,
  25. --     but WITHOUT ANY WARRANTY; without even the implied warranty of
  26. --     MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
  27. --     GNU General Public License for more details.
  28. --
  29. --     You should have received a copy of the GNU General Public License
  30. --     along with this program; if not, write to the Free Software
  31. --     Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA  02110-1301 USA
  32. --
  33. --
  34. -- The 3-clause BSD License:
  35. --
  36. --     Redistribution and use in source and binary forms, with or without
  37. --     modification, are permitted provided that the following conditions
  38. --     are met:
  39. --
  40. --   * Redistributions of source code must retain the above copyright
  41. --     notice, this list of conditions and the following disclaimer.
  42. --
  43. --   * Redistributions in binary form must reproduce the above
  44. --     copyright notice, this list of conditions and the following
  45. --     disclaimer in the documentation and/or other materials
  46. --     provided with the distribution.
  47. --
  48. --   * Neither the name of Mesa Electronics nor the names of its
  49. --     contributors may be used to endorse or promote products
  50. --     derived from this software without specific prior written
  51. --     permission.
  52. --
  53. --
  54. -- Disclaimer:
  55. --
  56. --     THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS
  57. --     "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT
  58. --     LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS
  59. --     FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE
  60. --     COPYRIGHT OWNER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,
  61. --     INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING,
  62. --     BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
  63. --     LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER
  64. --     CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT
  65. --     LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN
  66. --     ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
  67. --     POSSIBILITY OF SUCH DAMAGE.
  68. --
  69.  
  70. use work.IDROMConst.all;
  71.  
  72. package PIN_SVST1_5_72 is
  73.     constant ModuleID : ModuleIDType :=(
  74.       -- Gtag,          Version, Clock,         NumInstances, BaseAddr,             NumRegisters, Strides, MultRegs
  75.         (WatchDogTag,   x"00",  ClockLowTag,    x"01",  WatchDogTimeAddr&PadT,      WatchDogNumRegs,        x"00",  WatchDogMPBitMask),
  76.         (IOPortTag,     x"00",  ClockLowTag,    x"03",  PortAddr&PadT,                  IOPortNumRegs,          x"00",  IOPortMPBitMask),
  77.         (QcountTag,     x"02",  ClockLowTag,    x"01",  QcounterAddr&PadT,          QCounterNumRegs,        x"00",  QCounterMPBitMask),
  78.         (PWMTag,        x"00",  ClockHighTag,   x"01",  PWMValAddr&PadT,                PWMNumRegs,             x"00",  PWMMPBitMask),
  79.         (StepGenTag,    x"02",  ClockLowTag,    x"05",  StepGenRateAddr&PadT,       StepGenNumRegs,     x"00",  StepGenMPBitMask),
  80.         (LEDTag,        x"00",  ClockLowTag,    x"01",  LEDAddr&PadT,                   LEDNumRegs,             x"00",  LEDMPBitMask),
  81.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  82.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  83.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  84.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  85.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  86.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  87.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  88.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  89.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  90.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  91.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  92.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  93.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  94.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  95.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  96.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  97.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  98.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  99.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  100.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  101.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  102.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  103.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  104.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  105.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000"),
  106.         (NullTag,       x"00",  NullTag,            x"00",  NullAddr&PadT,                  x"00",                  x"00",  x"00000000")
  107.         );
  108.  
  109.     constant PinDesc : PinDescType :=(
  110. --  Base func  sec unit sec func     sec pin
  111.         IOPortTag & x"00" & QCountTag & x"01",    -- I/O 00
  112.         IOPortTag & x"00" & QCountTag & x"02",    -- I/O 01
  113.         IOPortTag & x"00" & QCountTag & x"03",    -- I/O 02
  114.         IOPortTag & x"00" & PWMTag & x"81",       -- I/O 03
  115.         IOPortTag & x"00" & PWMTag & x"82",       -- I/O 04
  116.         IOPortTag & x"00" & PWMTag & x"83",       -- I/O 05
  117.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 06
  118.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 07
  119.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 08
  120.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 09
  121.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 10
  122.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 11
  123.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 12
  124.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 13
  125.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 14
  126.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 15
  127.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 16
  128.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 17
  129.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 18
  130.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 19
  131.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 20
  132.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 21
  133.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 22
  134.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 23
  135.  
  136.  
  137.         IOPortTag & x"00" & StepGenTag & x"81",   -- I/O 24
  138.         IOPortTag & x"00" & StepGenTag & x"82",   -- I/O 25
  139.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 26
  140.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 27
  141.         IOPortTag & x"01" & StepGenTag & x"81",   -- I/O 28
  142.         IOPortTag & x"01" & StepGenTag & x"82",   -- I/O 29
  143.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 30
  144.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 31
  145.         IOPortTag & x"02" & StepGenTag & x"81",   -- I/O 32
  146.         IOPortTag & x"02" & StepGenTag & x"82",   -- I/O 33
  147.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 34
  148.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 35
  149.         IOPortTag & x"03" & StepGenTag & x"81",   -- I/O 36
  150.         IOPortTag & x"03" & StepGenTag & x"82",   -- I/O 37
  151.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 38
  152.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 39
  153.         IOPortTag & x"04" & StepGenTag & x"81",   -- I/O 40
  154.         IOPortTag & x"04" & StepGenTag & x"82",   -- I/O 41
  155.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 42
  156.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 43
  157.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 44
  158.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 45
  159.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 46
  160.         IOPortTag & x"00" & NullTag & x"00",      -- I/O 47
  161.  
  162.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 48
  163.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 49
  164.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 50
  165.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 51
  166.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 52
  167.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 53
  168.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 54
  169.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 55
  170.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 56
  171.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 57
  172.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 58
  173.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 59
  174.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 60
  175.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 61
  176.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 62
  177.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 63
  178.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 64
  179.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 65
  180.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 66
  181.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 67
  182.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 68
  183.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 69
  184.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 70
  185.         IOPortTag & x"00" & NullTag & x"00",   -- I/O 71
  186.  
  187.         emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin, -- added for IDROM v3
  188.         emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
  189.  
  190.         emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
  191.         emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
  192.         emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
  193.         emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
  194.         emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
  195.         emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,
  196.         emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin,emptypin);
  197.  
  198. end package PIN_SVST1_5_72;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement