Advertisement
Guest User

Untitled

a guest
Mar 25th, 2017
71
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.38 KB | None | 0 0
  1. -- Akhan Almagambetov
  2. -- Embry-Riddle Aeronautical University
  3. -- D flip-flop (CEC220 Lecture)
  4.  
  5. library IEEE;
  6. use IEEE.STD_LOGIC_1164.ALL;
  7.  
  8. entity dff is
  9. Port ( d, clk : in STD_LOGIC;
  10. q : out STD_LOGIC);
  11. end dff;
  12.  
  13. architecture dff_arch of dff is
  14.  
  15. begin
  16. dff_proc : process (clk)
  17. begin
  18. if rising_edge(clk) then q <= d;
  19. end if;
  20. end process;
  21.  
  22. end dff_arch;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement