Advertisement
natsfr

Verilog length example

Mar 22nd, 2017
313
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. parameter A = 3;
  2. reg [7:0]b = {3'b101, A};
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement