Advertisement
Guest User

Untitled

a guest
Mar 18th, 2017
87
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1.     `timescale 1ns/1ps
  2. module at_test;
  3.      
  4. reg clk, ena, reset;
  5. logic [2:0] q;
  6. logic [2:0] q1;
  7.      
  8.      
  9. initial begin
  10.     clk=0;
  11.     forever #10 clk=~clk;
  12.     end
  13.      
  14. initial
  15.     begin
  16.     q = 0;
  17.     ena = 1;
  18.     reset = 0;
  19.     #10 reset = 1;
  20.     for(int i = 0; i < 17; i++)
  21.     begin
  22.         #20 $strobe("%b -> %b ", q, q1);
  23.             q = q1;
  24.             if(i == 3 || i==4|| i==9 || i==10 || i==16)
  25.                 ena = ~ena;
  26.     end
  27.     #10 $stop;
  28.     end
  29.      
  30. at uut_inst(clk, reset, ena, q1);
  31.  
  32. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement