Advertisement
Guest User

Complete Top-Level Module with MicroBlaze

a guest
Mar 2nd, 2014
808
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. `timescale 1ns / 1ps
  2.  
  3. module TopLevel(Clk, Reset, UART_Rx, UART_Tx, GPO1, GPI1);
  4.  
  5. input wire Clk;
  6. input wire Reset;
  7. input wire UART_Rx;
  8. output wire UART_Tx;
  9. output wire [7:0] GPO1;
  10. input wire [7:0] GPI1;
  11.  
  12. SoftProc MySoftProc (
  13.   .Clk(Clk), // input Clk
  14.   .Reset(Reset), // input Reset
  15.   .UART_Rx(UART_Rx), // input UART_Rx
  16.   .UART_Tx(UART_Tx), // output UART_Tx
  17.   .UART_Interrupt(/*UART_Interrupt*/), // output UART_Interrupt
  18.   .GPO1(GPO1), // output [7 : 0] GPO1
  19.   .GPI1(GPI1), // input [7 : 0] GPI1
  20.   .GPI1_Interrupt(/*GPI1_Interrupt*/), // output GPI1_Interrupt
  21.   .INTC_IRQ(/*INTC_IRQ*/) // output INTC_IRQ
  22. );
  23.  
  24. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement