Advertisement
filip710

asdasf

Nov 21st, 2018
117
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 2.39 KB | None | 0 0
  1. ----------------------------------------------------------------------------------
  2. -- Company:
  3. -- Engineer:
  4. --
  5. -- Create Date:    13:16:50 11/21/2018
  6. -- Design Name:
  7. -- Module Name:    LEDMatrixCNTRL_test - Behavioral
  8. -- Project Name:
  9. -- Target Devices:
  10. -- Tool versions:
  11. -- Description:
  12. --
  13. -- Dependencies:
  14. --
  15. -- Revision:
  16. -- Revision 0.01 - File Created
  17. -- Additional Comments:
  18. --
  19. ----------------------------------------------------------------------------------
  20. library IEEE;
  21. use IEEE.STD_LOGIC_1164.ALL;
  22.  
  23. entity LEDMAtrixCNTRL_test is
  24.  
  25. PORT(
  26.         rst,wake,send_data,send_reg,clk_in: in std_logic;
  27.         select_reg_value:in STD_LOGIC_VECTOR(0 to 3);
  28.         load,d_out: out std_logic;
  29.         clk_out:buffer std_logic
  30.     --TO DO 1 -> deklarirati ulaze "rst", "wake", "send_data", "send_reg" i "clk_in" (STD_LOGIC)
  31.    
  32.     --TO DO 2 -> deklarirati ulaz "select_reg_value" (STD_LOGIC_VECTOR) veličine 4 bita
  33.    
  34.     --TO DO 3 -> deklarirati izlaze "load" i "d_out" (STD_LOGIC)
  35.    
  36.     --TO DO 4 -> deklarirati buffer "clk_out" (STD_LOGIC)
  37.        
  38. );
  39.  
  40. end LEDMAtrixCNTRL_test;
  41.  
  42. architecture Behavioral of LEDMAtrixCNTRL_test is
  43.  
  44.     --TO DO 5 -> deklarirati signal "data" (STD_LOGIC_VECTOR) veličine 64 bita (koristiti 0 to 63)
  45.     SIGNAL data:STD_LOGIC_VECTOR(0 to 63);
  46.    
  47.     --TO DO 6 -> deklarirati signal "CNTRL_reg" (STD_LOGIC_VECTOR) veličine 16 bita (koristiti 15 downto 0)
  48.         SIGNAL CNTRL_reg:STD_LOGIC_VECTOR(15 downto 0);
  49.  
  50. begin
  51.  
  52.     --TO DO 7 -> pridružiti odgovarajuće vrijednosti signalu "data"
  53.     data<= "00000000" &
  54.              "10101010" &
  55.              "01010101" &
  56.              "11110000" &
  57.              "00001111" &
  58.              "11001100" &
  59.              "00110011" &
  60.              "11111111";
  61.    
  62.    
  63.    
  64.    
  65.    
  66.    
  67.    
  68.    
  69.  
  70.     --TO DO 8 - > u ovisnosti o vrijednosti ulaza "select_reg_value" pridružiti odgovarajuće vrijednosti signalu CNTRL_reg
  71.     with select_reg_value select
  72.  
  73.         CNTRL_reg <="0000" & "1111" & "00000001"    when "0000", --DISPLAY TEST ON
  74.                         "0000" & "1111" & "00000000"    when "0001", --DISPLAY TEST OFF
  75.                         "0000" & "1011" & "00000100" when "0011", --SCAN LIMIT (4)
  76.                         "0000" & "1011" & "00000111" when "0100", --SCAN LIMIT (8)
  77.                         "0000" & "1010" & "00000000" when "0101", --INTENSITY MIN
  78.                         "0000" & "1010" & "00001111" when others; --INTENSITY MAX
  79.    
  80.     --TO DO 9 -> instancirati LEDMAtrixCNTRL
  81.    
  82. LEDMatrix: entity work.LEDMatrixCNTRL port map(rst,wake,send_data,send_reg,clk_in,data,CNTRL_reg,load,d_out,clk_out);
  83. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement