Advertisement
Tyler_Elric

Untitled

Oct 29th, 2015
135
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.26 KB | None | 0 0
  1. LIBRARY ieee ;
  2. USE ieee.std_logic_1164.all ;
  3. ENTITY lab6_a IS
  4.     PORT ( x2,x3,x4 : in std_logic;
  5.                          f : out std_logic);    
  6. END lab6_a ;
  7.  
  8. ARCHITECTURE Behavior OF lab6_a IS
  9. BEGIN
  10.  
  11.    f<= NOT ((NOT x2) AND x3 AND x4);
  12.    
  13. END Behavior ;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement