Advertisement
Guest User

InterruptConverter

a guest
Sep 9th, 2013
74
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module InterruptConverter (/*AUTOARG*/
  2.    // Outputs
  3.    edge_irq,
  4.    // Inputs
  5.    clk, level_irq
  6.    ) ;
  7.    input clk;
  8.    
  9.    input level_irq;
  10.    output reg edge_irq;
  11.  
  12.    reg   [3:0] shift_register = 0;
  13.  
  14.    always @ ( posedge clk ) begin
  15.       shift_register <= { shift_register[2:0], level_irq };
  16.      
  17.       if (shift_register == 4'b1100) begin
  18.          edge_irq <= 1;
  19.       end else begin
  20.          edge_irq <= 0;        
  21.       end
  22.    end
  23.    
  24. endmodule // InterruptConverter
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement