Advertisement
Guest User

Untitled

a guest
Jul 24th, 2014
227
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.37 KB | None | 0 0
  1. library IEEE;
  2. use IEEE.std_logic_1164.all;
  3. entity ajinkya is
  4. port(a:in std_logic_vector(0 to 3);
  5. sel: in std_logic_vector(0 to 1);
  6. y:out std_logic);
  7. end ajinkya;
  8. architecture aj1 of ajinkya is
  9. begin
  10. process(a,sel)
  11. begin
  12. case sel is
  13. when "00"=> y <=a(0);
  14. when "01"=> y <=a(1);
  15. when "10"=> y <=a(2);
  16. when "11"=> y <=a(3);
  17. end case;
  18. end process;
  19. end aj1;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement