Guest User

Untitled

a guest
Nov 27th, 2011
97
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.75 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4. entity cmp8 is
  5.         port (clk : in std_logic;
  6.           a: in std_logic_vector (7 downto 0); -- первое число
  7.               b: in std_logic_vector (7 downto 0); -- второе число
  8.               e: out std_logic;  -- equal
  9.               g: out std_logic;  -- greater
  10.               l: out std_logic); -- less
  11. end cmp8;
  12.  
  13. architecture struct of cmp8 is
  14.        
  15.         component cmp2 is
  16.                 port (clk : in std_logic;
  17.               a1, a0: in std_logic;
  18.                       b1, b0: in std_logic;
  19.                       ext_e, ext_g, ext_l: in std_logic;
  20.                       e, g, l: out std_logic);
  21.         end component;
  22.  
  23.         signal comp1_e, comp1_g, comp1_l: std_logic;
  24.         signal comp2_e, comp2_g, comp2_l: std_logic;
  25.         signal comp3_e, comp3_g, comp3_l: std_logic;
  26. begin
  27.         comp3: cmp2 port map (
  28.     clk => clk,
  29.         a(7), a(6), b(7), b(6),
  30.         ext_e => '1',
  31.         ext_g => '0',
  32.         ext_l => '0',
  33.         e => comp3_e,
  34.         g => comp3_g,
  35.         l => comp3_l);
  36.  
  37.         comp2: cmp2 port map (
  38.         clk => clk,
  39.     a(5), a(4), b(5), b(4),
  40.         ext_e => comp3_e,
  41.         ext_g => comp3_g,
  42.         ext_l => comp3_l,
  43.         e => comp2_e,
  44.         g => comp2_g,
  45.         l => comp2_l);
  46.  
  47.         comp1: cmp2 port map (
  48.         clk => clk,
  49.     a(3), a(2), b(3), b(2),
  50.         ext_e => comp2_e,
  51.         ext_g => comp2_g,
  52.         ext_l => comp2_l,
  53.         e => comp1_e,
  54.         g => comp1_g,
  55.         l => comp1_l);
  56.  
  57.         comp0: cmp2 port map (
  58.         clk => clk,
  59.     a(1), a(0), b(1), b(0),
  60.         ext_e => comp1_e,
  61.         ext_g => comp1_g,
  62.         ext_l => comp1_l,
  63.         e => e,
  64.         g => g,
  65.         l => l);
  66.  
  67. end struct;
  68.  
  69.  
  70.  
Advertisement
Add Comment
Please, Sign In to add comment