Advertisement
Guest User

Untitled

a guest
Oct 1st, 2014
145
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.28 KB | None | 0 0
  1. module cycle(
  2. input button,
  3. output [3:0] leds
  4. );
  5.  
  6. reg [3:0] state;
  7. assign leds = state;
  8. initial begin
  9. state = 4'b1000;
  10. end
  11. always begin
  12. if (button) begin
  13. case (state)
  14. 4'b1000: state <= 4'b0100;
  15. 4'b0100: state <= 4'b1000;
  16. endcase
  17. end
  18. end
  19. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement