Advertisement
Guest User

Untitled

a guest
May 22nd, 2015
218
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.03 KB | None | 0 0
  1.  
  2. To_Stdlogicvector(B"10001100000000100000000000000001")); -- lw $2,1($0)
  3. constant mem1 : std_logic_vector(31 downto 0) := (
  4. To_Stdlogicvector(B"00000000111000110001100000100010")); -- sub $3, $7, $3
  5. constant mem2 : std_logic_vector(31 downto 0) := (
  6. To_Stdlogicvector(B"00000000100001010010000000100100")); -- and $4, $4, $5
  7. constant mem3 : std_logic_vector(31 downto 0) := (
  8. To_Stdlogicvector(B"00000000110001110010100000100101")); -- or $5, $6, $7
  9. constant mem4 : std_logic_vector(31 downto 0) := (
  10. To_Stdlogicvector(B"00000000010000010011000000100000")); -- add $6, $2, $1
  11. constant mem5 : std_logic_vector(31 downto 0) := (
  12. To_Stdlogicvector(B"00010000001000011111111111111010")); -- beq $1,$1,init
  13. constant mem6 : std_logic_vector(31 downto 0) := (
  14. To_Stdlogicvector(B"00000000000000000000000000000000")); -- nop
  15. constant mem7 : std_logic_vector(31 downto 0) := (
  16. To_Stdlogicvector(B"00000000000000000000000000000000")); -- nop
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement