Advertisement
Guest User

Untitled

a guest
Mar 10th, 2015
196
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.94 KB | None | 0 0
  1. $ EGL_PLATFORM=hwcomposer strace test_egl
  2. [ .. ]
  3. socket(PF_LOCAL, SOCK_STREAM, 0) = 9
  4. connect(9, {sa_family=AF_LOCAL, sun_path="/dev/socket/property_service"}, 31) = 0
  5. send(9, "\2\0\0\0debug.prerotation.disable\0\0\0"..., 128, 0) = 128
  6. recv(9, "\2\0\0\0debug.prerotation.disable\0\0\0"..., 128, 0) = 128
  7. recv(9, "", 128, 0) = 0
  8. close(9) = 0
  9. socket(PF_LOCAL, SOCK_STREAM, 0) = 9
  10. connect(9, {sa_family=AF_LOCAL, sun_path="/dev/socket/property_service"}, 31) = 0
  11. send(9, "\2\0\0\0debug.egl.profiler\0\0\0\0\0\0\0\0\0\0"..., 128, 0) = 128
  12. recv(9, "\2\0\0\0debug.egl.profiler\0\0\0\0\0\0\0\0\0\0"..., 128, 0) = 128
  13. recv(9, "", 128, 0) = 0
  14. close(9) = 0
  15. socket(PF_LOCAL, SOCK_STREAM, 0) = 9
  16. connect(9, {sa_family=AF_LOCAL, sun_path="/dev/socket/property_service"}, 31) = 0
  17. send(9, "\2\0\0\0debug.egl.finish\0\0\0\0\0\0\0\0\0\0\0\0"..., 128, 0) = 128
  18. recv(9, "\2\0\0\0debug.egl.finish\0\0\0\0\0\0\0\0\0\0\0\0"..., 128, 0) = 128
  19. recv(9, "", 128, 0) = 0
  20. close(9) = 0
  21. socket(PF_LOCAL, SOCK_STREAM, 0) = 9
  22. connect(9, {sa_family=AF_LOCAL, sun_path="/dev/socket/property_service"}, 31) = 0
  23. send(9, "\2\0\0\0debug.egl.traceGpuCompletion"..., 128, 0) = 128
  24. recv(9, "\2\0\0\0debug.egl.traceGpuCompletion"..., 128, 0) = 128
  25. recv(9, "", 128, 0) = 0
  26. close(9) = 0
  27. socket(PF_LOCAL, SOCK_STREAM, 0) = 9
  28. connect(9, {sa_family=AF_LOCAL, sun_path="/dev/socket/property_service"}, 31) = 0
  29. send(9, "\2\0\0\0debug.egl.force_msaa\0\0\0\0\0\0\0\0"..., 128, 0) = 128
  30. recv(9, "\2\0\0\0debug.egl.force_msaa\0\0\0\0\0\0\0\0"..., 128, 0) = 128
  31. recv(9, "", 128, 0) = 0
  32. close(9) = 0
  33. --- SIGSEGV {si_signo=SIGSEGV, si_code=SEGV_MAPERR, si_addr=0} ---
  34. +++ killed by SIGSEGV +++
  35. Segmentation fault
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement