Advertisement
Guest User

Untitled

a guest
May 25th, 2015
234
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.36 KB | None | 0 0
  1. architecture behavior of FlipFlop is
  2. signal tmp : std_logic;
  3.  
  4. begin
  5. tmp <= '0';
  6. process (CLK)
  7. begin
  8. Q <= tmp;
  9. tmp <= D;
  10. end process;
  11. end architecture;
  12.  
  13. architecture behavior of PipedMul is
  14.  
  15. component FlipFlip is
  16. port (
  17. D : in std_logic;
  18. ClK : in std_logic;
  19. Q : out std_logic
  20. );
  21. end component;
  22.  
  23. begin
  24.  
  25.  
  26. end architecture;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement