Advertisement
Guest User

Untitled

a guest
May 24th, 2015
201
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 1.52 KB | None | 0 0
  1. LIBRARY ieee;
  2. USE ieee.std_logic_1164.ALL;
  3.  
  4.  
  5.  
  6. ENTITY test_circuito_combinado IS
  7. END test_circuito_combinado;
  8.  
  9. ARCHITECTURE behavior OF test_circuito_combinado IS
  10.  
  11.  
  12.  
  13. COMPONENT circuito_combinado
  14. PORT(
  15. EN : IN std_logic_vector(0 to 9);
  16. ENA : IN std_logic;
  17. DAT_B : IN std_logic_vector(3 downto 0);
  18. EQU : OUT std_logic;
  19. HIG : OUT std_logic;
  20. LES : OUT std_logic
  21. );
  22. END COMPONENT;
  23.  
  24.  
  25.  
  26. --Inputs
  27. signal EN : std_logic_vector(0 to 9) := (others => '0');
  28. signal ENA : std_logic := '0';
  29. signal DAT_B : std_logic_vector(3 downto 0) := (others => '0');
  30.  
  31. --Outputs
  32. signal EQU : std_logic;
  33. signal HIG : std_logic;
  34. signal LES : std_logic;
  35.  
  36.  
  37. BEGIN
  38.  
  39. -- Instantiate the Unit Under Test (UUT)
  40. uut: circuito_combinado PORT MAP (
  41. EN => EN,
  42. ENA => ENA,
  43. DAT_B => DAT_B,
  44. EQU => EQU,
  45. HIG => HIG,
  46. LES => LES
  47. );
  48.  
  49.  
  50. -- Valor de las CODIFICADOR
  51. ENA <='0' ,'1' AFTER 20 ns , '0' AFTER 120 ns , '1' after 140 ns , '0' AFTER 300 ns;
  52. EN <="0000000000" , "1000000000" AFTER 40 ns , "1111111111" AFTER 80 ns, "1100000000" AFTER 100 ns , "1111111110" AFTER 140 ns ,
  53. "1111111000" AFTER 160 ns , "1110011011" AFTER 200 ns ,"1000000000" AFTER 240 ns , "1111111111" AFTER 280 ns;
  54. --Valor de las señales COMPARADOR
  55.  
  56. DAT_B <= "0000","0110" after 40 ns,"1000" after 80 ns,"0000" after 120 ns, "1101" after 160 ns,"0111" after 200 ns;
  57.  
  58.  
  59.  
  60.  
  61. END;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement