Advertisement
Guest User

Untitled

a guest
Apr 3rd, 2014
182
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module TEST;
  2.    reg test = 0;
  3.    reg test2 = 0;
  4.    
  5.    reg clk = 0;
  6.  
  7.    initial begin
  8.       clk <= 1;
  9.       test <= 1;
  10.    end
  11.    
  12.    always @(posedge clk) begin
  13.       test2 <= test;
  14.    end
  15. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement