Advertisement
Guest User

Untitled

a guest
Mar 25th, 2016
110
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.53 KB | None | 0 0
  1. process(sysclk)
  2. begin
  3. if rising_edge(sysclk) then
  4.  
  5. if INTENA = '1' and cpu_r_w = '0' then
  6. cpu_datain_intreq <= '1';
  7. int2ena <= '1';
  8. end if;
  9.  
  10. if INTREQ = '1' and cpu_r_w = '0' and cpu_dataout(15) = '0' then
  11. cpu_datain_intreq <= '0';
  12. end if;
  13.  
  14. if INTREQ = '1' and int2ena = '1' and IDE_IRQ = '1' then
  15. cpu_datain_intreq <= '1';
  16. end if;
  17.  
  18. end if;
  19. end process;
  20. cpu_datain_cpu(14 downto 0) <= cpu_datain(14 downto 0);
  21. cpu_datain_cpu(15) <= cpu_datain_intreq when INTREQ = '1' or INTENA = '1' else cpu_datain(15);
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement