Advertisement
fenrir

SelectorWithHiZ_using_Generate

May 31st, 2011
393
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.52 KB | None | 0 0
  1. entity SelectorWithHiZ_using_Generate is
  2.   generic (
  3.       candidates_num : integer := 8);
  4.   port (
  5.       candidates : in std_logic_vector(candidates_num - 1 downto 0);
  6.       selects : in std_logic_vector(candidates_num - 1 downto 0);
  7.       result : out std_logic);
  8. end SelectorWithHiZ_using_Generate;
  9.  
  10. architecture Behavioral of SelectorWithHiZ_using_Generate is
  11. begin
  12.  
  13.   gen : for i in selects'range generate
  14.     result <= candidates(i) when selects(i) = '1'
  15.         else 'Z';
  16.   end generate;  
  17. end Behavioral;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement