Advertisement
Guest User

Untitled

a guest
Apr 23rd, 2014
54
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
text 0.25 KB | None | 0 0
  1. module D_FF_Array #(parameter WIDTH = 1)
  2. (q, d, clk, reset);
  3.  
  4. input clk, reset;
  5. input [WIDTH - 1:0] d;
  6. output reg [WIDTH - 1:0] q;
  7.  
  8. always @(posedge clk or posedge reset) begin
  9. if(reset)
  10. q <= 0;
  11. else
  12. q <= d;
  13. end
  14. endmodule
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement