Advertisement
ExtReMLapin

[Pseudo-Code] Sastantua

Jul 5th, 2014
326
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
C 2.79 KB | None | 0 0
  1. function _display_floor {
  2.     var_44 = LODWORD(rdi);
  3.     var_40 = LODWORD(rsi);
  4.     var_39 = LOBYTE(rdx);
  5.     var_28 = LODWORD(LODWORD(var_40) - LODWORD(var_44));
  6.     var_24 = LODWORD(LODWORD(var_28) + 0x3);
  7.     var_20 = LODWORD(_calc_space_top_floot(LODWORD(var_44), LODWORD(var_40)));
  8.     var_16 = LODWORD(_calc_width_top_floor(LODWORD(var_44), LODWORD(var_40), var_40, var_44));
  9.     if (LODWORD(LODWORD(var_40) & 0x1) == 0x0) {
  10.             var_32 = LODWORD(LODWORD(var_40) - 0x1);
  11.     }
  12.     else {
  13.             var_32 = LODWORD(var_40);
  14.     }
  15.     var_12 = LODWORD(var_32);
  16.     var_8 = 0x0;
  17.     do {
  18.             LODWORD(rax) = var_8;
  19.             if (LODWORD(rax) >= LODWORD(var_24)) {
  20.                 break;
  21.             }
  22.             _display_sequence(LODWORD(sign_extend_64(LOBYTE(0x20))), LODWORD(var_20));
  23.             _display_sequence(LODWORD(sign_extend_64(LOBYTE(0x2f))), LODWORD(0x1));
  24.             if ((LOBYTE(var_39) == 0x0) || (LODWORD(LODWORD(var_24) - LODWORD(var_12)) > LODWORD(var_8))) {
  25.                     _display_sequence(LODWORD(sign_extend_64(LOBYTE(0x2a))), LODWORD(LODWORD(var_16) - 0x2));
  26.             }
  27.             else {
  28.                     _display_sequence(LODWORD(0x2a), LODWORD((LODWORD(SAR(LODWORD(var_16 + (LODWORD(var_16) >> 0x1f)), 0x1)) - LODWORD(SAR(LODWORD(var_12 + (LODWORD(var_12) >> 0x1f)), 0x1))) + 0xffffffffffffffff), LODWORD(var_16) >> 0x1f, 0x2a);
  29.                     if ((LODWORD(var_12) < 0x5) || (LODWORD((LODWORD(var_24) - LODWORD(SAR(LODWORD(var_12 + (LODWORD(var_12) >> 0x1f)), 0x1))) + 0xffffffffffffffff) != LODWORD(var_8))) {
  30.                             _display_sequence(LODWORD(sign_extend_64(LOBYTE(0x7c))), LODWORD(var_12));
  31.                     }
  32.                     else {
  33.                             var_7 = LOBYTE(0x7c);
  34.                             _display_sequence(LODWORD(sign_extend_64(LOBYTE(0x7c))), LODWORD(LODWORD(var_12) - 0x2), sign_extend_64(LOBYTE(0x7c)), 0x7c);
  35.                             var_0 = LODWORD(0x1);
  36.                             _display_sequence(LODWORD(sign_extend_64(LOBYTE(0x24))), LODWORD(0x1));
  37.                             _display_sequence(LODWORD(sign_extend_64(LOBYTE(var_7))), LODWORD(var_0));
  38.                     }
  39.                     _display_sequence(LODWORD(0x2a), LODWORD((LODWORD(SAR(LODWORD(var_16 + (LODWORD(var_16) >> 0x1f)), 0x1)) - LODWORD(SAR(LODWORD(var_12 + (LODWORD(var_12) >> 0x1f)), 0x1))) + 0xffffffffffffffff), LODWORD(var_16) >> 0x1f, 0x2a);
  40.             }
  41.             _display_sequence(LODWORD(sign_extend_64(LOBYTE(0x5c))), LODWORD(0x1));
  42.             var_20 = LODWORD(LODWORD(var_20) - 0x1);
  43.             var_16 = LODWORD(LODWORD(var_16) + 0x2);
  44.             _ft_putchar(LODWORD(sign_extend_64(LOBYTE(0xa))));
  45.             var_8 = LODWORD(LODWORD(var_8) + 0x1);
  46.     } while (true);
  47.     return rax;
  48. }
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement