Advertisement
Guest User

VHDL RS FF

a guest
May 30th, 2015
528
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 0.35 KB | None | 0 0
  1. library ieee;
  2. use ieee.std_logic_1164.all;
  3.  
  4.  
  5. entity RSFflipFlop is
  6.  
  7. port(   r,s:    in  std_logic;
  8.     q,qn:   out std_logic);
  9.  
  10. end RSFflipFlop;
  11.  
  12.  
  13.  
  14. architecture logic of RSFflipFlop is       
  15.  
  16. signal q_cache: std_logic;
  17. signal qn_cache: std_logic;
  18.  
  19. begin
  20.     q_cache <= r nor qn_cache;
  21.     qn_cache <= s nor q_cache;
  22.     q <= q_cache;
  23.     qn <= qn_cache;
  24. end logic;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement