Advertisement
rdsedmundo

final.vhd

Sep 17th, 2013
61
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 1.39 KB | None | 0 0
  1. LIBRARY IEEE;
  2. USE IEEE.STD_LOGIC_1164.ALL;
  3.  
  4. ENTITY ControleMotor IS
  5.     PORT(
  6.         Temperatura : IN STD_LOGIC_VECTOR(7 DOWNTO 0); -- Indica o binário equivalente da temperatura atual
  7.         clock       : IN STD_LOGIC; -- Clock de 66.66MHz
  8.         LED_Baixo   : OUT STD_LOGIC; -- Indica que a intensidade da temperatura está baixa
  9.         LED_Medio   : OUT STD_LOGIC; -- Indica que a intensidade da temperatura está média
  10.         LED_Alto    : OUT STD_LOGIC); -- Indica que a intensidade da temperatura está alta
  11. END ControleMotor;
  12.  
  13. ARCHITECTURE structural OF ControleMotor IS
  14.     CONSTANT TEMPERATURA_BAIXA : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00011110"; -- 30ºC
  15.     CONSTANT TEMPERATURA_MEDIA : STD_LOGIC_VECTOR(7 DOWNTO 0) := "00101101"; -- 45ºC
  16.     CONSTANT TEMPERATURA_ALTA  : STD_LOGIC_VECTOR(7 DOWNTO 0) := "01000110"; -- 70ºC
  17. BEGIN
  18.  
  19.     PROCESS(Temperatura,clock) IS
  20.     BEGIN
  21.         IF(clock = '1' AND clock'event) THEN
  22.             IF (Temperatura >= TEMPERATURA_ALTA) THEN
  23.                 LED_Baixo <= '0';
  24.                 LED_Medio <= '0';
  25.                 LED_Alto  <= '1';
  26.             ELSIF (Temperatura < TEMPERATURA_ALTA AND Temperatura >= TEMPERATURA_MEDIA) THEN
  27.                 LED_Baixo <= '0';
  28.                 LED_Medio <= '1';
  29.                 LED_Alto  <= '0';
  30.             ELSIF (Temperatura >= TEMPERATURA_BAIXA) THEN
  31.                 LED_Baixo <= '1';
  32.                 LED_Medio <= '0';
  33.                 LED_Alto  <= '0';
  34.             ELSE
  35.                 LED_Baixo <= '0';
  36.                 LED_Medio <= '0';
  37.                 LED_Alto  <= '0';
  38.             END IF;
  39.         END IF;
  40.     END PROCESS;
  41.  
  42. END structural;
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement