Guest User

Untitled

a guest
May 29th, 2013
332
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
VHDL 15.59 KB | None | 0 0
  1. library ieee;                                                  
  2. use ieee.std_logic_1164.all;
  3. use ieee.std_logic_unsigned.all;
  4.  
  5.  
  6.  
  7. ENTITY Liczby IS
  8.    PORT(
  9.       clk      : IN   STD_LOGIC;
  10.       reset    : IN   STD_LOGIC;
  11.       a      : IN   STD_LOGIC;
  12.       b      : IN   STD_LOGIC;
  13.         numery : IN std_logic_vector(3 DOWNTO 0);
  14.         --bloczki : IN STD_LOGIC_VECTOR(1 downto 0);
  15.         sel     : INOUT std_logic_vector(6 DOWNTO 0); --wiersze wyswietlacza ustawiane od dołu
  16.       q         : INOUT std_logic_vector(27 DOWNTO 0)
  17.         );
  18. END Liczby;
  19.  
  20. ARCHITECTURE a OF Liczby IS
  21.    TYPE STATE_TYPE IS (s0, s1, s2, s3, s4);
  22.     TYPE LICZBA_TYPE IS (l0, l1, l2, l3, l4, l5, l6, l7, l8, l9);
  23.     TYPE BLOCK_TYPE IS (b1,b2,b3,b4);
  24.    SIGNAL state   : STATE_TYPE;
  25.     SIGNAL number   : LICZBA_TYPE;
  26.     SIGNAL blocks : BLOCK_TYPE;
  27.     SIGNAL dclk     : STD_LOGIC;
  28.    SIGNAL with_number : std_logic_vector(3 DOWNTO 0);
  29.     SIGNAL with_block :  std_logic_vector(1 DOWNTO 0);
  30.     signal counter  : INTEGER;
  31.    
  32.  
  33. BEGIN
  34.     PROCESS (numery, a,b)
  35.     BEGIN
  36.         with_number <= numery;
  37.       with_block(0) <= a;
  38.       with_block(1) <= b;
  39.     END PROCESS;
  40.  
  41.  
  42. PROCESS (clk)
  43.     BEGIN
  44.         if (clk'EVENT AND clk = '1') THEN
  45.          counter <= counter+1;
  46.          if(counter = 50000) THEN
  47.          counter <=0;
  48.          dclk <= not dclk;
  49.          end if;
  50.          end if;
  51.     END PROCESS;
  52.  
  53.  
  54.  
  55.  
  56. WITH with_number SELECT
  57.         number <= l1 when "0001",
  58.             l2 when "0010",
  59.             l3 when "0011",
  60.             l4 when "0100",
  61.             l5 when "0101",
  62.             l6 when "0110",
  63.             l7 when "0111",
  64.             l8 when "1000",
  65.             l9 when "1001",
  66.          l0 when others;
  67.            
  68. WITH with_block SELECT 
  69.         blocks <= b1 when "11",
  70.             b2 when "10",
  71.             b3 when "01",
  72.          b4 when others;
  73.          
  74.    PROCESS (dclk, reset)
  75.    
  76.    BEGIN
  77.                
  78.       IF reset = '1' THEN
  79.          state <= s0;
  80.            
  81.       ELSIF (dclk'EVENT AND dclk = '1') THEN
  82.          CASE state IS
  83.             WHEN s0=>
  84.                   state <= s1;
  85.             WHEN s1=>
  86.                   state <= s2;
  87.             WHEN s2=>
  88.                   state <= s3;
  89.                 WHEN s3=>
  90.                   state <= s4;
  91.                 WHEN s4=>
  92.                   state <= s0;
  93.          END CASE;
  94.       END IF;
  95.    END PROCESS;
  96.    
  97.    PROCESS (state)
  98.    BEGIN
  99.       CASE state IS
  100.          WHEN s0 => -- STANY
  101.                 CASE number IS -- LICZBY W STANIE
  102.                     WHEN l0 =>
  103.                         sel <= "0111110";--'1';
  104.                         CASE blocks IS
  105.                             when b1 =>
  106.                             q   <= x"0000001";
  107.                             when b2 =>
  108.                             q   <= x"0000020";
  109.                             when b3 =>
  110.                             q   <= x"0000400";
  111.                             when b4 =>
  112.                            q    <= x"0008000"; 
  113.                         END CASE;
  114.                     WHEN l1 =>
  115.                         sel <= "1001000";--'1';
  116.                         CASE blocks IS
  117.                             when b1 =>
  118.                             q   <= x"0000001";
  119.                             when b2 =>
  120.                             q   <= x"0000020";
  121.                             when b3 =>
  122.                             q   <= x"0000400";
  123.                             when b4 =>
  124.                            q    <= x"0008000"; 
  125.                         END CASE;
  126.                     WHEN l2 =>
  127.                         sel <= "1100110";--'1';
  128.                         CASE blocks IS
  129.                             when b1 =>
  130.                             q   <= x"0000001";
  131.                             when b2 =>
  132.                             q   <= x"0000020";
  133.                             when b3 =>
  134.                             q   <= x"0000400";
  135.                             when b4 =>
  136.                            q    <= x"0008000"; 
  137.                         END CASE;
  138.                     WHEN l3 =>
  139.                         sel <= "0100010";--'1';
  140.                         CASE blocks IS
  141.                             when b1 =>
  142.                             q   <= x"0000001";
  143.                             when b2 =>
  144.                             q   <= x"0000020";
  145.                             when b3 =>
  146.                             q   <= x"0000400";
  147.                             when b4 =>
  148.                            q    <= x"0008000"; 
  149.                         END CASE;
  150.                     WHEN l4 =>
  151.                         sel <= "0001000";--'1';
  152.                         CASE blocks IS
  153.                             when b1 =>
  154.                             q   <= x"0000001";
  155.                             when b2 =>
  156.                             q   <= x"0000020";
  157.                             when b3 =>
  158.                             q   <= x"0000400";
  159.                             when b4 =>
  160.                            q    <= x"0008000"; 
  161.                         END CASE;
  162.                     WHEN l5 =>
  163.                         sel <= "1000110";--'1';
  164.                         CASE blocks IS
  165.                             when b1 =>
  166.                             q   <= x"0000001";
  167.                             when b2 =>
  168.                             q   <= x"0000020";
  169.                             when b3 =>
  170.                             q   <= x"0000400";
  171.                             when b4 =>
  172.                            q    <= x"0008000"; 
  173.                         END CASE;
  174.                     WHEN l6 =>
  175.                         sel <= "0111110";--'1';
  176.                         CASE blocks IS
  177.                             when b1 =>
  178.                             q   <= x"0000001";
  179.                             when b2 =>
  180.                             q   <= x"0000020";
  181.                             when b3 =>
  182.                             q   <= x"0000400";
  183.                             when b4 =>
  184.                            q    <= x"0008000"; 
  185.                         END CASE;
  186.                     WHEN l7 =>
  187.                         sel <= "0000011";--'1';
  188.                         CASE blocks IS
  189.                             when b1 =>
  190.                             q   <= x"0000001";
  191.                             when b2 =>
  192.                             q   <= x"0000020";
  193.                             when b3 =>
  194.                             q   <= x"0000400";
  195.                             when b4 =>
  196.                            q    <= x"0008000"; 
  197.                         END CASE;
  198.                     WHEN l8 =>
  199.                         sel <= "0110110";--'1';
  200.                         CASE blocks IS
  201.                             when b1 =>
  202.                             q   <= x"0000001";
  203.                             when b2 =>
  204.                             q   <= x"0000020";
  205.                             when b3 =>
  206.                             q   <= x"0000400";
  207.                             when b4 =>
  208.                            q    <= x"0008000"; 
  209.                         END CASE;
  210.                     WHEN l9 =>
  211.                         sel <= "0000110";--'1';
  212.                         CASE blocks IS
  213.                             when b1 =>
  214.                             q   <= x"0000001";
  215.                             when b2 =>
  216.                             q   <= x"0000020";
  217.                             when b3 =>
  218.                             q   <= x"0000400";
  219.                             when b4 =>
  220.                            q    <= x"0008000"; 
  221.                         END CASE;
  222.                 END CASE;      
  223.          WHEN s1 =>
  224.                 CASE number IS -- LICZBY W STANIE
  225.                     WHEN l0 =>
  226.                         sel <= "1000001";--'1';
  227.                         CASE blocks IS
  228.                             when b1 =>
  229.                             q   <= x"0000002";
  230.                             when b2 =>
  231.                             q   <= x"0000040";
  232.                             when b3 =>
  233.                             q   <= x"0000800";
  234.                             when b4 =>
  235.                            q    <= x"0010000"; 
  236.                         END CASE;          
  237.                     WHEN l1 =>
  238.                         sel <= "1000100";--'1';
  239.                         CASE blocks IS
  240.                             when b1 =>
  241.                             q   <= x"0000002";
  242.                             when b2 =>
  243.                             q   <= x"0000040";
  244.                             when b3 =>
  245.                             q   <= x"0000800";
  246.                             when b4 =>
  247.                            q    <= x"0010000"; 
  248.                         END CASE;
  249.                     WHEN l2 =>
  250.                         sel <= "1010001";--'1';
  251.                         CASE blocks IS
  252.                             when b1 =>
  253.                             q   <= x"0000002";
  254.                             when b2 =>
  255.                             q   <= x"0000040";
  256.                             when b3 =>
  257.                             q   <= x"0000800";
  258.                             when b4 =>
  259.                            q    <= x"0010000"; 
  260.                         END CASE;
  261.                     WHEN l3 =>
  262.                         sel <= "1000001";--'1';
  263.                         CASE blocks IS
  264.                             when b1 =>
  265.                             q   <= x"0000002";
  266.                             when b2 =>
  267.                             q   <= x"0000040";
  268.                             when b3 =>
  269.                             q   <= x"0000800";
  270.                             when b4 =>
  271.                            q    <= x"0010000"; 
  272.                         END CASE;
  273.                     WHEN l4 =>
  274.                         sel <= "0001100";--'1';
  275.                         CASE blocks IS
  276.                             when b1 =>
  277.                             q   <= x"0000002";
  278.                             when b2 =>
  279.                             q   <= x"0000040";
  280.                             when b3 =>
  281.                             q   <= x"0000800";
  282.                             when b4 =>
  283.                            q    <= x"0010000"; 
  284.                         END CASE;
  285.                     WHEN l5 =>
  286.                         sel <= "1001001";--'1';
  287.                         CASE blocks IS
  288.                             when b1 =>
  289.                             q   <= x"0000002";
  290.                             when b2 =>
  291.                             q   <= x"0000040";
  292.                             when b3 =>
  293.                             q   <= x"0000800";
  294.                             when b4 =>
  295.                            q    <= x"0010000"; 
  296.                         END CASE;
  297.                     WHEN l6 =>
  298.                         sel <= "1001001";--'1';
  299.                         CASE blocks IS
  300.                             when b1 =>
  301.                             q   <= x"0000002";
  302.                             when b2 =>
  303.                             q   <= x"0000040";
  304.                             when b3 =>
  305.                             q   <= x"0000800";
  306.                             when b4 =>
  307.                            q    <= x"0010000"; 
  308.                         END CASE;
  309.                     WHEN l7 =>
  310.                         sel <= "0000001";--'1';
  311.                         CASE blocks IS
  312.                             when b1 =>
  313.                             q   <= x"0000002";
  314.                             when b2 =>
  315.                             q   <= x"0000040";
  316.                             when b3 =>
  317.                             q   <= x"0000800";
  318.                             when b4 =>
  319.                            q    <= x"0010000"; 
  320.                         END CASE;
  321.                     WHEN l8 =>
  322.                         sel <= "1001001";--'1';
  323.                         CASE blocks IS
  324.                             when b1 =>
  325.                             q   <= x"0000002";
  326.                             when b2 =>
  327.                             q   <= x"0000040";
  328.                             when b3 =>
  329.                             q   <= x"0000800";
  330.                             when b4 =>
  331.                            q    <= x"0010000"; 
  332.                         END CASE;
  333.                     WHEN l9 =>
  334.                         sel <= "1001001";--'1';
  335.                         CASE blocks IS
  336.                             when b1 =>
  337.                             q   <= x"0000002";
  338.                             when b2 =>
  339.                             q   <= x"0000040";
  340.                             when b3 =>
  341.                             q   <= x"0000800";
  342.                             when b4 =>
  343.                            q    <= x"0010000"; 
  344.                         END CASE;
  345.                 END CASE;
  346.          WHEN s2 =>
  347.                 CASE number IS -- LICZBY W STANIE
  348.                     WHEN l0 =>
  349.                         sel <= "1000001";--'1';
  350.                         CASE blocks IS
  351.                             when b1 =>
  352.                             q   <= x"0000004";
  353.                             when b2 =>
  354.                             q   <= x"0000080";
  355.                             when b3 =>
  356.                             q   <= x"0001000";
  357.                             when b4 =>
  358.                            q    <= x"0020000"; 
  359.                         END CASE;          
  360.                     WHEN l1 =>
  361.                         sel <= "1111111";--'1';
  362.                         CASE blocks IS
  363.                             when b1 =>
  364.                             q   <= x"0000004";
  365.                             when b2 =>
  366.                             q   <= x"0000080";
  367.                             when b3 =>
  368.                             q   <= x"0001000";
  369.                             when b4 =>
  370.                            q    <= x"0020000"; 
  371.                         END CASE;
  372.                     WHEN l2 =>
  373.                         sel <= "1001001";--'1';
  374.                         CASE blocks IS
  375.                             when b1 =>
  376.                             q   <= x"0000004";
  377.                             when b2 =>
  378.                             q   <= x"0000080";
  379.                             when b3 =>
  380.                             q   <= x"0001000";
  381.                             when b4 =>
  382.                            q    <= x"0020000"; 
  383.                         END CASE;
  384.                     WHEN l3 =>
  385.                         sel <= "1001001";--'1';
  386.                         CASE blocks IS
  387.                             when b1 =>
  388.                             q   <= x"0000004";
  389.                             when b2 =>
  390.                             q   <= x"0000080";
  391.                             when b3 =>
  392.                             q   <= x"0001000";
  393.                             when b4 =>
  394.                            q    <= x"0020000"; 
  395.                         END CASE;
  396.                     WHEN l4 =>
  397.                         sel <= "0001010";--'1';
  398.                         CASE blocks IS
  399.                             when b1 =>
  400.                             q   <= x"0000004";
  401.                             when b2 =>
  402.                             q   <= x"0000080";
  403.                             when b3 =>
  404.                             q   <= x"0001000";
  405.                             when b4 =>
  406.                            q    <= x"0020000"; 
  407.                         END CASE;
  408.                     WHEN l5 =>
  409.                         sel <= "1001001";--'1';
  410.                         CASE blocks IS
  411.                             when b1 =>
  412.                             q   <= x"0000004";
  413.                             when b2 =>
  414.                             q   <= x"0000080";
  415.                             when b3 =>
  416.                             q   <= x"0001000";
  417.                             when b4 =>
  418.                            q    <= x"0020000"; 
  419.                         END CASE;
  420.                     WHEN l6 =>
  421.                         sel <= "1001001";--'1';
  422.                         CASE blocks IS
  423.                             when b1 =>
  424.                             q   <= x"0000004";
  425.                             when b2 =>
  426.                             q   <= x"0000080";
  427.                             when b3 =>
  428.                             q   <= x"0001000";
  429.                             when b4 =>
  430.                            q    <= x"0020000"; 
  431.                         END CASE;
  432.                     WHEN l7 =>
  433.                         sel <= "1100001";--'1';
  434.                         CASE blocks IS
  435.                             when b1 =>
  436.                             q   <= x"0000004";
  437.                             when b2 =>
  438.                             q   <= x"0000080";
  439.                             when b3 =>
  440.                             q   <= x"0001000";
  441.                             when b4 =>
  442.                            q    <= x"0020000"; 
  443.                         END CASE;
  444.                     WHEN l8 =>
  445.                         sel <= "1001001";--'1';
  446.                         CASE blocks IS
  447.                             when b1 =>
  448.                             q   <= x"0000004";
  449.                             when b2 =>
  450.                             q   <= x"0000080";
  451.                             when b3 =>
  452.                             q   <= x"0001000";
  453.                             when b4 =>
  454.                            q    <= x"0020000"; 
  455.                         END CASE;
  456.                     WHEN l9 =>
  457.                         sel <= "1001001";--'1';
  458.                         CASE blocks IS
  459.                             when b1 =>
  460.                             q   <= x"0000004";
  461.                             when b2 =>
  462.                             q   <= x"0000080";
  463.                             when b3 =>
  464.                             q   <= x"0001000";
  465.                             when b4 =>
  466.                            q    <= x"0020000"; 
  467.                         END CASE;
  468.                 END CASE;
  469.             WHEN s3 =>
  470.                 CASE number IS -- LICZBY W STANIE
  471.                     WHEN l0 =>
  472.                         sel <= "1000001";--'1';
  473.                         CASE blocks IS
  474.                             when b1 =>
  475.                             q   <= x"0000008";
  476.                             when b2 =>
  477.                             q   <= x"0000100";
  478.                             when b3 =>
  479.                             q   <= x"0002000";
  480.                             when b4 =>
  481.                            q    <= x"0040000"; 
  482.                         END CASE;      
  483.                     WHEN l1 =>
  484.                         sel <= "1000000";--'1';
  485.                         CASE blocks IS
  486.                             when b1 =>
  487.                             q   <= x"0000008";
  488.                             when b2 =>
  489.                             q   <= x"0000100";
  490.                             when b3 =>
  491.                             q   <= x"0002000";
  492.                             when b4 =>
  493.                            q    <= x"0040000"; 
  494.                         END CASE;
  495.                     WHEN l2 =>
  496.                         sel <= "1000101";--'1';
  497.                         CASE blocks IS
  498.                             when b1 =>
  499.                             q   <= x"0000008";
  500.                             when b2 =>
  501.                             q   <= x"0000100";
  502.                             when b3 =>
  503.                             q   <= x"0002000";
  504.                             when b4 =>
  505.                            q    <= x"0040000"; 
  506.                         END CASE;
  507.                     WHEN l3 =>
  508.                         sel <= "1001001";--'1';
  509.                         CASE blocks IS
  510.                             when b1 =>
  511.                             q   <= x"0000008";
  512.                             when b2 =>
  513.                             q   <= x"0000100";
  514.                             when b3 =>
  515.                             q   <= x"0002000";
  516.                             when b4 =>
  517.                            q    <= x"0040000"; 
  518.                         END CASE;
  519.                     WHEN l4 =>
  520.                         sel <= "1111101";--'1';
  521.                         CASE blocks IS
  522.                             when b1 =>
  523.                             q   <= x"0000008";
  524.                             when b2 =>
  525.                             q   <= x"0000100";
  526.                             when b3 =>
  527.                             q   <= x"0002000";
  528.                             when b4 =>
  529.                            q    <= x"0040000"; 
  530.                         END CASE;
  531.                     WHEN l5 =>
  532.                         sel <= "1001001";--'1';
  533.                         CASE blocks IS
  534.                             when b1 =>
  535.                             q   <= x"0000008";
  536.                             when b2 =>
  537.                             q   <= x"0000100";
  538.                             when b3 =>
  539.                             q   <= x"0002000";
  540.                             when b4 =>
  541.                            q    <= x"0040000"; 
  542.                         END CASE;
  543.                     WHEN l6 =>
  544.                         sel <= "1001001";--'1';
  545.                         CASE blocks IS
  546.                             when b1 =>
  547.                             q   <= x"0000008";
  548.                             when b2 =>
  549.                             q   <= x"0000100";
  550.                             when b3 =>
  551.                             q   <= x"0002000";
  552.                             when b4 =>
  553.                            q    <= x"0040000"; 
  554.                         END CASE;
  555.                     WHEN l7 =>
  556.                         sel <= "0010001";--'1';
  557.                         CASE blocks IS
  558.                             when b1 =>
  559.                             q   <= x"0000008";
  560.                             when b2 =>
  561.                             q   <= x"0000100";
  562.                             when b3 =>
  563.                             q   <= x"0002000";
  564.                             when b4 =>
  565.                            q    <= x"0040000"; 
  566.                         END CASE;
  567.                     WHEN l8 =>
  568.                         sel <= "1001001";--'1';
  569.                         CASE blocks IS
  570.                             when b1 =>
  571.                             q   <= x"0000008";
  572.                             when b2 =>
  573.                             q   <= x"0000100";
  574.                             when b3 =>
  575.                             q   <= x"0002000";
  576.                             when b4 =>
  577.                            q    <= x"0040000"; 
  578.                         END CASE;
  579.                     WHEN l9 =>
  580.                         sel <= "1001001";--'1';
  581.                         CASE blocks IS
  582.                             when b1 =>
  583.                             q   <= x"0000008";
  584.                             when b2 =>
  585.                             q   <= x"0000100";
  586.                             when b3 =>
  587.                             q   <= x"0002000";
  588.                             when b4 =>
  589.                            q    <= x"0040000"; 
  590.                         END CASE;
  591.                 END CASE;
  592.             WHEN s4 =>
  593.                 CASE number IS -- LICZBY W STANIE
  594.                     WHEN l0 =>
  595.                         sel <= "0111110";--'1';
  596.                         CASE blocks IS
  597.                             when b1 =>
  598.                             q   <= x"0000010";
  599.                             when b2 =>
  600.                             q   <= x"0000200";
  601.                             when b3 =>
  602.                             q   <= x"0004000";
  603.                             when b4 =>
  604.                            q    <= x"0080000"; 
  605.                         END CASE;          
  606.                     WHEN l1 =>
  607.                         sel <= "1000000";--'1';
  608.                         CASE blocks IS
  609.                             when b1 =>
  610.                             q   <= x"0000010";
  611.                             when b2 =>
  612.                             q   <= x"0000200";
  613.                             when b3 =>
  614.                             q   <= x"0004000";
  615.                             when b4 =>
  616.                            q    <= x"0080000"; 
  617.                         END CASE;
  618.                     WHEN l2 =>
  619.                         sel <= "1100010";--'1';
  620.                         CASE blocks IS
  621.                             when b1 =>
  622.                             q   <= x"0000010";
  623.                             when b2 =>
  624.                             q   <= x"0000200";
  625.                             when b3 =>
  626.                             q   <= x"0004000";
  627.                             when b4 =>
  628.                            q    <= x"0080000"; 
  629.                         END CASE;
  630.                     WHEN l3 =>
  631.                         sel <= "0110110";--'1';
  632.                         CASE blocks IS
  633.                             when b1 =>
  634.                             q   <= x"0000010";
  635.                             when b2 =>
  636.                             q   <= x"0000200";
  637.                             when b3 =>
  638.                             q   <= x"0004000";
  639.                             when b4 =>
  640.                            q    <= x"0080000"; 
  641.                         END CASE;
  642.                     WHEN l4 =>
  643.                         sel <= "0001000";--'1';
  644.                         CASE blocks IS
  645.                             when b1 =>
  646.                             q   <= x"0000010";
  647.                             when b2 =>
  648.                             q   <= x"0000200";
  649.                             when b3 =>
  650.                             q   <= x"0004000";
  651.                             when b4 =>
  652.                            q    <= x"0080000"; 
  653.                         END CASE;
  654.                     WHEN l5 =>
  655.                         sel <= "0110001";--'1';
  656.                         CASE blocks IS
  657.                             when b1 =>
  658.                             q   <= x"0000010";
  659.                             when b2 =>
  660.                             q   <= x"0000200";
  661.                             when b3 =>
  662.                             q   <= x"0004000";
  663.                             when b4 =>
  664.                            q    <= x"0080000"; 
  665.                         END CASE;
  666.                     WHEN l6 =>
  667.                         sel <= "0110010";--'1';
  668.                         CASE blocks IS
  669.                             when b1 =>
  670.                             q   <= x"0000010";
  671.                             when b2 =>
  672.                             q   <= x"0000200";
  673.                             when b3 =>
  674.                             q   <= x"0004000";
  675.                             when b4 =>
  676.                            q    <= x"0080000"; 
  677.                         END CASE;
  678.                     WHEN l7 =>
  679.                         sel <= "0001111";--'1';
  680.                         CASE blocks IS
  681.                             when b1 =>
  682.                             q   <= x"0000010";
  683.                             when b2 =>
  684.                             q   <= x"0000200";
  685.                             when b3 =>
  686.                             q   <= x"0004000";
  687.                             when b4 =>
  688.                            q    <= x"0080000"; 
  689.                         END CASE;
  690.                     WHEN l8 =>
  691.                         sel <= "0110110";--'1';
  692.                         CASE blocks IS
  693.                             when b1 =>
  694.                             q   <= x"0000010";
  695.                             when b2 =>
  696.                             q   <= x"0000200";
  697.                             when b3 =>
  698.                             q   <= x"0004000";
  699.                             when b4 =>
  700.                            q    <= x"0080000"; 
  701.                         END CASE;
  702.                     WHEN l9 =>
  703.                         sel <= "0110110";--'1';
  704.                         CASE blocks IS
  705.                             when b1 =>
  706.                             q   <= x"0000010";
  707.                             when b2 =>
  708.                             q   <= x"0000200";
  709.                             when b3 =>
  710.                             q   <= x"0004000";
  711.                             when b4 =>
  712.                            q    <= x"0080000"; 
  713.                         END CASE;
  714.                 END CASE;
  715.       END CASE;
  716.    END PROCESS;
  717.    
  718. END a;
Advertisement
Add Comment
Please, Sign In to add comment