domdealm

Somador Verilog

Jul 13th, 2017
65
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
  1. module exercicio(SW,LEDR);//module principal - SW = chaves, LEDR = leds
  2. input[9:0]SW;//sw de 0 a 9
  3. output[9:0]LEDR;
  4. wire k1;
  5.  
  6. somador a1(SW[0], SW[2], SW[8], k1, LEDR[0]);//SW[8] carry in - Somando A + A
  7. somador a2(SW[1], SW[3], k1, LEDR[2], LEDR[1]);//k1 carry out do somador A1 ligado no carry in do somador A2 - Somando B + B
  8. endmodule
  9.  
  10. module somador(a,b,c_in,c_out, soma);// declara variáveis dentro dos modulos
  11.     output soma, c_out;
  12.     input a, b, c_in;
  13.     assign soma = a^b^c_in; //xor
  14.     assign c_out = (a&b)|(a&c_in)|(b&c_in); //and = &, or = |
  15. endmodule
Add Comment
Please, Sign In to add comment