Advertisement
tsester

Positive_EdgeTriggered_D_FlipFlopAsynchronous_PRESET_CLEAR

Jan 12th, 2016
139
0
Never
Not a member of Pastebin yet? Sign Up, it unlocks many cool features!
XML 10.90 KB | None | 0 0
  1. <?xml version="1.0" encoding="UTF-8" standalone="no"?>
  2. <project source="2.13.14" version="1.0">
  3. This file is intended to be loaded by Logisim-evolution (https://github.com/reds-heig/logisim-evolution).
  4. <lib desc="#Wiring" name="0">
  5.     <tool name="Splitter">
  6.       <a name="facing" val="west"/>
  7.       <a name="fanout" val="3"/>
  8.       <a name="incoming" val="3"/>
  9.       <a name="appear" val="center"/>
  10.     </tool>
  11.     <tool name="Clock">
  12.       <a name="label" val="CLK"/>
  13.     </tool>
  14.     <tool name="Constant">
  15.       <a name="value" val="0x0"/>
  16.     </tool>
  17.   </lib>
  18.   <lib desc="#Gates" name="1">
  19.     <tool name="NOT Gate">
  20.       <a name="size" val="20"/>
  21.     </tool>
  22.     <tool name="OR Gate">
  23.       <a name="size" val="30"/>
  24.     </tool>
  25.     <tool name="NAND Gate">
  26.       <a name="size" val="30"/>
  27.     </tool>
  28.     <tool name="XNOR Gate">
  29.       <a name="size" val="30"/>
  30.     </tool>
  31.   </lib>
  32.   <lib desc="#Plexers" name="2">
  33.     <tool name="Multiplexer">
  34.       <a name="enable" val="false"/>
  35.     </tool>
  36.     <tool name="Demultiplexer">
  37.       <a name="enable" val="false"/>
  38.     </tool>
  39.   </lib>
  40.   <lib desc="#Arithmetic" name="3"/>
  41.   <lib desc="#Memory" name="4">
  42.     <tool name="ROM">
  43.       <a name="contents">addr/data: 8 8
  44. 0
  45. </a>
  46.     </tool>
  47.   </lib>
  48.   <lib desc="#I/O" name="5"/>
  49.   <lib desc="#HDL-IP" name="6">
  50.     <tool name="VHDL Entity">
  51.       <a name="content">--------------------------------------------------------------------------------
  52. -- HEIG-VD, institute REDS, 1400 Yverdon-les-Bains
  53. -- Project :
  54. -- File    :
  55. -- Autor   :
  56. -- Date    :
  57. --
  58. --------------------------------------------------------------------------------
  59. -- Description :
  60. --
  61. --------------------------------------------------------------------------------
  62.  
  63. library ieee;
  64.   use ieee.std_logic_1164.all;
  65.   --use ieee.numeric_std.all;
  66.  
  67. entity VHDL_Component is
  68.   port(
  69.   ------------------------------------------------------------------------------
  70.   --Insert input ports below
  71.     horloge_i  : in  std_logic;                    -- input bit example
  72.     val_i      : in  std_logic_vector(3 downto 0); -- input vector example
  73.   ------------------------------------------------------------------------------
  74.   --Insert output ports below
  75.     max_o      : out std_logic;                    -- output bit example
  76.     cpt_o      : out std_logic_Vector(3 downto 0)  -- output vector example
  77.     );
  78. end VHDL_Component;
  79.  
  80. --------------------------------------------------------------------------------
  81. --Complete your VHDL description below
  82. architecture type_architecture of VHDL_Component is
  83.  
  84.  
  85. begin
  86.  
  87.  
  88. end type_architecture;
  89. </a>
  90.     </tool>
  91.   </lib>
  92.   <lib desc="#TCL" name="7">
  93.     <tool name="TclGeneric">
  94.       <a name="content">library ieee;
  95. use ieee.std_logic_1164.all;
  96.  
  97. entity TCL_Generic is
  98.   port(
  99.     --Insert input ports below
  100.     horloge_i  : in  std_logic;                    -- input bit example
  101.     val_i      : in  std_logic_vector(3 downto 0); -- input vector example
  102.  
  103.       --Insert output ports below
  104.     max_o      : out std_logic;                    -- output bit example
  105.     cpt_o      : out std_logic_Vector(3 downto 0)  -- output vector example
  106.   );
  107. end TCL_Generic;
  108. </a>
  109.     </tool>
  110.   </lib>
  111.   <lib desc="#Base" name="8">
  112.     <tool name="Text Tool">
  113.       <a name="text" val=""/>
  114.       <a name="font" val="SansSerif plain 20"/>
  115.       <a name="halign" val="center"/>
  116.       <a name="valign" val="base"/>
  117.     </tool>
  118.     <tool name="Text">
  119.       <a name="text" val="QM"/>
  120.       <a name="font" val="SansSerif plain 20"/>
  121.     </tool>
  122.   </lib>
  123.   <lib desc="#BFH-Praktika" name="9"/>
  124.   <main name="main"/>
  125.   <options>
  126.     <a name="gateUndefined" val="ignore"/>
  127.     <a name="simlimit" val="1000"/>
  128.     <a name="simrand" val="0"/>
  129.     <a name="tickmain" val="half_period"/>
  130.   </options>
  131.   <mappings>
  132.     <tool lib="8" map="Button2" name="Menu Tool"/>
  133.     <tool lib="8" map="Button3" name="Menu Tool"/>
  134.     <tool lib="8" map="Ctrl Button1" name="Menu Tool"/>
  135.   </mappings>
  136.   <toolbar>
  137.     <tool lib="8" name="Poke Tool"/>
  138.     <tool lib="8" name="Edit Tool"/>
  139.     <tool lib="8" name="Text Tool">
  140.       <a name="text" val=""/>
  141.       <a name="font" val="SansSerif plain 20"/>
  142.       <a name="halign" val="center"/>
  143.       <a name="valign" val="base"/>
  144.     </tool>
  145.     <sep/>
  146.     <tool lib="0" name="Pin">
  147.       <a name="label" val="D"/>
  148.       <a name="labelfont" val="SansSerif plain 20"/>
  149.     </tool>
  150.     <tool lib="0" name="Pin">
  151.       <a name="facing" val="west"/>
  152.       <a name="output" val="true"/>
  153.       <a name="label" val="Q"/>
  154.       <a name="labelloc" val="east"/>
  155.       <a name="labelfont" val="SansSerif plain 20"/>
  156.     </tool>
  157.     <tool lib="1" name="NOT Gate">
  158.       <a name="size" val="20"/>
  159.     </tool>
  160.     <tool lib="1" name="AND Gate"/>
  161.     <tool lib="1" name="OR Gate"/>
  162.   </toolbar>
  163.   <circuit name="main">
  164.     <a name="circuit" val="main"/>
  165.     <a name="clabel" val=""/>
  166.     <a name="clabelup" val="east"/>
  167.     <a name="clabelfont" val="SansSerif plain 12"/>
  168.     <a name="circuitvhdl" val="false"/>
  169.     <a name="circuitvhdlpath" val=""/>
  170.     <wire from="(480,190)" to="(500,190)"/>
  171.     <wire from="(400,190)" to="(440,190)"/>
  172.     <wire from="(420,150)" to="(500,150)"/>
  173.     <wire from="(400,290)" to="(630,290)"/>
  174.     <wire from="(220,60)" to="(400,60)"/>
  175.     <wire from="(140,250)" to="(160,250)"/>
  176.     <wire from="(420,160)" to="(490,160)"/>
  177.     <wire from="(150,290)" to="(200,290)"/>
  178.     <wire from="(160,250)" to="(470,250)"/>
  179.     <wire from="(650,150)" to="(720,150)"/>
  180.     <wire from="(650,190)" to="(670,190)"/>
  181.     <wire from="(350,200)" to="(440,200)"/>
  182.     <wire from="(650,170)" to="(730,170)"/>
  183.     <wire from="(500,150)" to="(500,190)"/>
  184.     <wire from="(650,170)" to="(650,190)"/>
  185.     <wire from="(710,120)" to="(730,120)"/>
  186.     <wire from="(160,120)" to="(160,190)"/>
  187.     <wire from="(420,180)" to="(440,180)"/>
  188.     <wire from="(220,200)" to="(310,200)"/>
  189.     <wire from="(510,100)" to="(570,100)"/>
  190.     <wire from="(530,200)" to="(530,250)"/>
  191.     <wire from="(720,150)" to="(720,200)"/>
  192.     <wire from="(280,100)" to="(310,100)"/>
  193.     <wire from="(400,60)" to="(400,120)"/>
  194.     <wire from="(480,120)" to="(490,120)"/>
  195.     <wire from="(90,250)" to="(120,250)"/>
  196.     <wire from="(350,110)" to="(440,110)"/>
  197.     <wire from="(160,190)" to="(310,190)"/>
  198.     <wire from="(630,200)" to="(670,200)"/>
  199.     <wire from="(610,210)" to="(670,210)"/>
  200.     <wire from="(720,200)" to="(750,200)"/>
  201.     <wire from="(280,210)" to="(310,210)"/>
  202.     <wire from="(160,60)" to="(220,60)"/>
  203.     <wire from="(530,120)" to="(570,120)"/>
  204.     <wire from="(200,110)" to="(200,290)"/>
  205.     <wire from="(650,130)" to="(650,150)"/>
  206.     <wire from="(650,130)" to="(670,130)"/>
  207.     <wire from="(730,120)" to="(730,170)"/>
  208.     <wire from="(280,160)" to="(280,210)"/>
  209.     <wire from="(630,60)" to="(630,120)"/>
  210.     <wire from="(110,60)" to="(140,60)"/>
  211.     <wire from="(510,190)" to="(510,220)"/>
  212.     <wire from="(200,110)" to="(310,110)"/>
  213.     <wire from="(160,120)" to="(310,120)"/>
  214.     <wire from="(400,60)" to="(630,60)"/>
  215.     <wire from="(730,120)" to="(750,120)"/>
  216.     <wire from="(220,60)" to="(220,200)"/>
  217.     <wire from="(420,160)" to="(420,180)"/>
  218.     <wire from="(490,250)" to="(530,250)"/>
  219.     <wire from="(420,130)" to="(420,150)"/>
  220.     <wire from="(110,290)" to="(130,290)"/>
  221.     <wire from="(280,100)" to="(280,140)"/>
  222.     <wire from="(400,120)" to="(440,120)"/>
  223.     <wire from="(630,120)" to="(670,120)"/>
  224.     <wire from="(530,120)" to="(530,200)"/>
  225.     <wire from="(630,200)" to="(630,290)"/>
  226.     <wire from="(490,120)" to="(510,120)"/>
  227.     <wire from="(110,100)" to="(280,100)"/>
  228.     <wire from="(510,100)" to="(510,120)"/>
  229.     <wire from="(530,200)" to="(570,200)"/>
  230.     <wire from="(160,190)" to="(160,250)"/>
  231.     <wire from="(400,190)" to="(400,290)"/>
  232.     <wire from="(420,130)" to="(440,130)"/>
  233.     <wire from="(610,110)" to="(670,110)"/>
  234.     <wire from="(490,120)" to="(490,160)"/>
  235.     <wire from="(710,200)" to="(720,200)"/>
  236.     <wire from="(510,220)" to="(570,220)"/>
  237.     <wire from="(500,190)" to="(510,190)"/>
  238.     <wire from="(200,290)" to="(400,290)"/>
  239.     <comp lib="1" loc="(140,250)" name="NOT Gate">
  240.       <a name="size" val="20"/>
  241.     </comp>
  242.     <comp lib="1" loc="(480,190)" name="NAND Gate">
  243.       <a name="size" val="30"/>
  244.       <a name="inputs" val="3"/>
  245.     </comp>
  246.     <comp lib="1" loc="(610,210)" name="NAND Gate">
  247.       <a name="size" val="30"/>
  248.     </comp>
  249.     <comp lib="1" loc="(480,120)" name="NAND Gate">
  250.       <a name="size" val="30"/>
  251.       <a name="inputs" val="3"/>
  252.       <a name="labelfont" val="SansSerif plain 16"/>
  253.     </comp>
  254.     <comp lib="0" loc="(90,250)" name="Clock">
  255.       <a name="label" val="CLK"/>
  256.       <a name="labelfont" val="SansSerif plain 20"/>
  257.     </comp>
  258.     <comp lib="1" loc="(710,120)" name="NAND Gate">
  259.       <a name="size" val="30"/>
  260.       <a name="inputs" val="3"/>
  261.     </comp>
  262.     <comp lib="1" loc="(490,250)" name="NOT Gate">
  263.       <a name="size" val="20"/>
  264.     </comp>
  265.     <comp lib="1" loc="(280,160)" name="NOT Gate">
  266.       <a name="facing" val="south"/>
  267.       <a name="size" val="20"/>
  268.     </comp>
  269.     <comp lib="0" loc="(750,120)" name="Pin">
  270.       <a name="facing" val="west"/>
  271.       <a name="output" val="true"/>
  272.       <a name="label" val="Q"/>
  273.       <a name="labelloc" val="east"/>
  274.       <a name="labelfont" val="SansSerif plain 20"/>
  275.     </comp>
  276.     <comp lib="1" loc="(610,110)" name="NAND Gate">
  277.       <a name="size" val="30"/>
  278.     </comp>
  279.     <comp lib="1" loc="(710,200)" name="NAND Gate">
  280.       <a name="size" val="30"/>
  281.       <a name="inputs" val="3"/>
  282.     </comp>
  283.     <comp lib="1" loc="(150,290)" name="NOT Gate">
  284.       <a name="size" val="20"/>
  285.     </comp>
  286.     <comp lib="0" loc="(110,60)" name="Pin">
  287.       <a name="label" val="PR_L"/>
  288.       <a name="labelfont" val="SansSerif plain 20"/>
  289.     </comp>
  290.     <comp lib="0" loc="(110,100)" name="Pin">
  291.       <a name="label" val="D"/>
  292.       <a name="labelfont" val="SansSerif plain 20"/>
  293.     </comp>
  294.     <comp lib="8" loc="(506,97)" name="Text">
  295.       <a name="text" val="QM"/>
  296.       <a name="font" val="SansSerif plain 20"/>
  297.     </comp>
  298.     <comp lib="0" loc="(750,200)" name="Pin">
  299.       <a name="facing" val="west"/>
  300.       <a name="output" val="true"/>
  301.       <a name="label" val="nQ"/>
  302.       <a name="labelloc" val="east"/>
  303.       <a name="labelfont" val="SansSerif plain 20"/>
  304.     </comp>
  305.     <comp lib="1" loc="(350,110)" name="NAND Gate">
  306.       <a name="size" val="30"/>
  307.       <a name="inputs" val="3"/>
  308.     </comp>
  309.     <comp lib="1" loc="(350,200)" name="NAND Gate">
  310.       <a name="size" val="30"/>
  311.       <a name="inputs" val="3"/>
  312.     </comp>
  313.     <comp lib="0" loc="(110,290)" name="Pin">
  314.       <a name="label" val="CLR_L"/>
  315.       <a name="labelfont" val="SansSerif plain 20"/>
  316.     </comp>
  317.     <comp lib="1" loc="(160,60)" name="NOT Gate">
  318.       <a name="size" val="20"/>
  319.     </comp>
  320.   </circuit>
  321. </project>
Advertisement
Add Comment
Please, Sign In to add comment
Advertisement